<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

Size: px
Start display at page:

Download "<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>"

Transcription

1 SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1

2 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2

3 概要編 : なぜシステムエンジニアリングか ibd [block ] コンテキスト [ 電光掲示板説明 ] 例題 : 電光掲示板を作成する <<external>> <<System>> 入力 ユーザー 文字入力装置 電光掲示板 電光掲示板は入力された文字列を表示する 文字列の長さが電光掲示板の表示領域より大きい場合はスクロールして全体を表示する スクロールは文字列の最後と最初を連結したループで行われる 電光掲示板に文字列を入力する為の装置はシステムの範囲外である 3

4 概要編 : なぜシステムエンジニアリングか テスト OK! 従来の開発 ソフト設計 スクロールすると表示がちらつく! 電光掲示板要求要求 機械設計 テスト OK! システム 転送した文字が化ける! テストOK! 電気設計 個別にテスト OK でもシステムレベルで問題が発生! システムレベルの検討が重要システムモデリング言語で異分野技術者間での情報伝達促進 4

5 概要編 : システムエンジニアリングとは システム要求分析 システムエンジニアリング システム妥当性確認 システム方式設計 システム結合テスト ソフトウェアソフトウェア要求分析機械妥当性確認機械要求分析電気妥当性確認電気ソフトウェア要求分析ソフトウェア妥当性確認システムエンジニアリング方式設計機械結合テスト機械プロセスの規格例方式設計電気結合テスト電気 ISO15288(JIS X0180) 方式設計ソフトウェア結合テスト IEEE1220 実装機械 ANSI/EIA632 実装電気 SYSMOD 実装 下流工程の分割は一例です システムエンジニアリング = ソフト 機械 電気等の分野に分ける前の全体設計 5

6 概要編 : システムエンジニアリングとモデリング言語 言語 SysML MARTE EAST-ADL AADL UML 適用分野 システム全般 リアルタイム組込みシステム 車載 ECU 組込みソフト ソフト全般 システム要求分析 システム方式設計 機能性能 分野別要求分析 分野別方式設計 機能性能 システムエンジニアリング用モデリング言語には様々なものが提唱されているが SysML は最上位に位置する汎用言語 6

7 概要編 : SysML の特徴 システムエンジニアリングにおいて 最上位レベルの検討を行うのに適している XMI によるデータ交換に準拠 既存の UML ツールを容易に拡張できる STEP AP233 で規定されるシステムエンジニアリング分野のデータ交換規格に準拠 将来他モデリング言語との相互データ交換によるハブ機能が期待される UML よりコンパクトな仕様で覚えやすい UML 2 SysML UML から SysML に再利用された部分 SysML 独自の拡張部分 7

8 実践編 ibd [block ] コンテキスト [ 電光掲示板説明 ] 例題 : 電光掲示板を作成する <<external>> <<System>> 入力 ユーザー 文字入力装置 電光掲示板 電光掲示板は入力された文字列を表示する 文字列の長さが電光掲示板の表示領域より大きい場合はスクロールして全体を表示する スクロールは文字列の最後と最初を連結したループで行われる 電光掲示板に文字列を入力する為の装置はシステムの範囲外である 処理を一部 CPLD で行う 8

9 実践編 システム要求分析 システム方式設計 振る舞いを検討する 機能要求を検討する 構造を検討する 要求を仕様化する 論理ブロックを物理ブロックに割り当てる 性能を検討する 9

10 実践編 : 機能要求を検討する 機能要求をユースケース図にする 文字を入力するインターフェースは? 表示可能な文字の種類は? 10

11 実践編 システム要求分析 システム方式設計 振る舞いを検討する 機能要求を検討する 構造を検討する 要求を仕様化する 論理ブロックを物理ブロックに割り当てる 性能を検討する 11

12 実践編 : 要求を仕様化する ユースケースを要求図で仕様化する ユースケース 未決定 未検討 文字化けしない検討はこの先で ちらつかない検討はこの先で 12

13 実践編 システム要求分析 システム方式設計 振る舞いを検討する 機能要求を検討する 構造を検討する 要求を仕様化する 論理ブロックを物理ブロックに割り当てる 性能を検討する 13

14 実践編 : 振る舞いを検討する (1) アクティビティ図で振る舞いを検討する 電源 On/Off が仕様に無い 14

15 実践編 : 振る舞いを検討する (2) ステートマシン図で状態を検討する 表示不可能文字列を受信したらどうする? タイムアウト規定が仕様に無い 15

16 実践編 システム要求分析 システム方式設計 振る舞いを検討する 機能要求を検討する 構造を検討する 要求を仕様化する 論理ブロックを物理ブロックに割り当てる 性能を検討する 16

17 実践編 : 構造を検討する (1) ブロック定義図 内部ブロック図で論理構造を検討する 物理ブロック割り当て対象 17

18 実践編 : 構造を検討する (2) ブロック定義図で物理構造を検討する bdd «block» 論理ブロック割り当て対象 «block» CPU 2 «block» LED «block» CPLD «block» DIP «block» CPU «block» CPLD «block» Matrix LED «block» IC «block» RS-232C «block» CPU «block» DRAM 18

19 実践編 システム要求分析 システム方式設計 振る舞いを検討する 機能要求を検討する 構造を検討する 要求を仕様化する 論理ブロックを物理ブロックに割り当てる 性能を検討する 19

20 実践編 : 論理ブロックを物理ブロックに割り当てる <<allocate>> 指定でブロック割り当てを記述する 論理ブロック パターン 1: 両方 CPU に割り当てパターン 2: スクロール制御を CPLD に割り当てパターン 3: 両方 CPLD に割り当て 物理ブロック 20

21 実践編 システム要求分析 システム方式設計 振る舞いを検討する 機能要求を検討する 構造を検討する 要求を仕様化する 論理ブロックを物理ブロックに割り当てる 性能を検討する 21

22 実践編 : 性能を検討する (1) 制約ブロックに数式を定義し パラメトリック図で関係を記述する bdd par «constraint» RAM constraints {t = n/fc} parameters fc:frequency n:count t:time «constraint» Matrix LED constraints {tg = (2+X/2)/fg*Y} {tb = X*Y*tv/8} {rate = tb/tg} parameters X:Resolution Y:Resolution fg:frequency tb:time tg:time tv:time rate:ratio MatrixLEDUnit.Clock MatrixLEDUnit.Dots_Y MatrixLEDUnit.Dots_X drawtime accesstime waitrate CPUUnit.Clock CPUUnit.DRAM.State tg X Y fg tb rate display:matrix LED tv fc t Dram:RAM n 色付き : 計測したい値 22

23 実践編 : 性能を検討する (2) パラメトリック図をシミュレーションする 描画用クロックの周波数を最大まで上げても CPU パフォーマンスへの影響はほぼ無い 23

24 まとめ 近年 ソフト ハード設計を始める前にシステム全体の設計を行う必要性が高まっています システム全体の設計には 異分野エンジニアの連携が必要になります システムモデリング言語は異分野エンジニアのコミュニケーションを促進します SysML はシステムモデリング言語の中でも最上位の概念を設計するのに使われます SysML ではシステムへの要求 システムの振る舞い システムの構造 システムの性能を表現出来ます モデル要素から要求へのトレーサビリティが確保できるので 変更時の影響度調査が容易になります 24

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

SysMLに関する操作方法について

SysMLに関する操作方法について SysML に関する 操作方法について (2017/12/21 版 ) SparxSystems Japan Co., Ltd. 前提 設定 2 利用条件 以下のいずれかの条件を満たすことが必要 Enterprise Architect+ 有償アドイン MDG Technology for SysML EA Suite システムエンジニアリング版あるいはアルティメット版 ( これらのエディションには

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2011 年度 設計手法普及調査アンケート 集計経過報告 2012 年 2 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 6. アンケート集計結果 9 6.1 回答者の担当製品分野について 10 6.2 回答者の部門について 11

More information

15288解説_D.pptx

15288解説_D.pptx ISO/IEC 15288:2015 テクニカルプロセス解説 2015/8/26 システムビューロ システムライフサイクル 2 テクニカルプロセス a) Business or mission analysis process b) Stakeholder needs and requirements definieon process c) System requirements definieon

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用 プログラム仕様書 (UML 表記法 ) ガイドライン 本仕様書に UML(Rational Rose 使用 ) を用いてプログラム仕様書を作成する際のガイドラインを記す 1. ドキュメントの様式について 1 ドキュメントは制御単位で作成する 2 表紙 及び変更履歴は SWS にて指定されたものを付加すること 3 下記の目次内で指定している UML 図 記述項目は必須項目とする 4SoDa にてドキュメントを出力する場合は

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2010 年度 設計手法普及調査アンケート 集計経過報告 2011 年 8 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 5.1 アンケート回答者の構成 : 製品分野 9 5.2 アンケート回答者の構成 : 部門 10 6. アンケート集計結果

More information

Microsoft Word - ModelAnalys操作マニュアル_

Microsoft Word - ModelAnalys操作マニュアル_ モデル分析アドイン操作マニュアル Ver.0.5.0 205/0/05 株式会社グローバルアシスト 目次 概要... 3. ツール概要... 3.2 対象... 3 2 インストールと設定... 4 2. モデル分析アドインのインストール... 4 2.2 モデル分析アドイン画面の起動... 6 3 モデル分析機能... 7 3. 要求分析機能... 7 3.. ID について... 0 3.2 要求ツリー抽出機能...

More information

リソース制約下における組込みソフトウェアの性能検証および最適化方法

リソース制約下における組込みソフトウェアの性能検証および最適化方法 リソース制約下における組込みソフト ウェアの性能検証および最適化方法 広島市立大学 大学院情報科学研究科システム工学専攻 中田明夫倉田和哉百々太市 1 提案技術の概要 組込みシステムの開発 厳しいリソース制約 (CPU, ネットワークなど ) 非機能要求 ( リアルタイム性など ) の達成 開発プロセスにおける設計段階 性能問題を発見することが困難 実装段階で性能問題が発覚 設計の手戻りが発生 設計段階での性能検証手法

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 5 月 Java 基礎 1 タイトル Java 基礎 2 日間 概要 目的 サーバサイドのプログラミング言語で最もシェアの高い Java SE の基本を習得します 当研修ではひとつの技術ごとに実用的なアプリケーションを作成するため 効果的な学習ができます Java SE の多くの API の中で 仕事でよく利用するものを中心に効率よく学びます 実際の業務で最も利用される開発環境である Eclipse

More information

ISO 9001:2015 改定セミナー (JIS Q 9001:2015 準拠 ) 第 4.2 版 株式会社 TBC ソリューションズ プログラム 年版改定の概要 年版の6 大重点ポイントと対策 年版と2008 年版の相違 年版への移行の実務

ISO 9001:2015 改定セミナー (JIS Q 9001:2015 準拠 ) 第 4.2 版 株式会社 TBC ソリューションズ プログラム 年版改定の概要 年版の6 大重点ポイントと対策 年版と2008 年版の相違 年版への移行の実務 ISO 9001:2015 改定セミナー (JIS Q 9001:2015 準拠 ) 第 4.2 版 株式会社 TBC ソリューションズ プログラム 1.2015 年版改定の概要 2.2015 年版の6 大重点ポイントと対策 3.2015 年版と2008 年版の相違 4.2015 年版への移行の実務 TBC Solutions Co.Ltd. 2 1.1 改定の背景 ISO 9001(QMS) ISO

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

ソフトウェア要求分析から詳細設計までシームレスにつなぐ開発手法

ソフトウェア要求分析から詳細設計までシームレスにつなぐ開発手法 第 18 回 ZIPC ユーザーズカンファレンス ソフトウェア要求分析から詳細設計まで シームレスにつなぐ開発手法 2013 年 9 月 20 日 目次 1. ソフトウェア設計手順の概要 2. トレーサビリティ管理ツール導入のポイント 3. ユースケース / ユースケース記述 4. 要求を仕様化する方法が必要 5. ユースケース記述とUSDMの関係 6. 基盤方式設計と機能方式設計の関係 7. ユースケース

More information

NEXCESS基礎コース01 組込みソフトウェア開発技術の基礎 ソフトウェア開発プロセス編

NEXCESS基礎コース01 組込みソフトウェア開発技術の基礎 ソフトウェア開発プロセス編 JaSST 12 Tokai SIG テストエンジニアだからこそ気を付けるテスト仕様書と報告書の書き方 2012 年 11 月 30 日 山本雅基 (ASDoQ/ 名古屋大学 ) E-mail: myamamoto@nces.is.nagoya-u.ac.jp 1 トイレは いつ行ってもいい 気楽に 自己紹介 16:10-16:20 お話 16:20-16:40 個人作業 16:40-16:55 グループ作業

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

智美塾 ゆもつよメソッドのアーキテクチャ

智美塾 ゆもつよメソッドのアーキテクチャ ゆもつよメソッドのテスト要求分析とテストアーキテクチャ設計 JaSST13 東京智美塾 2013 年 1 月 30 日 湯本剛 ( 日本 HP) tsuyoshi.yumoto@hp.com ゆもつよ風テスト開発プロセス テスト計画 実現したい品質の具体的把握 テスト箇所の選択 テストの目的設定 テスト対象アイテム特定 テスト分析 テストタイプ特定 機能の整理 & 再分類 テスト条件となる仕様項目特定

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

SysML SysML Requirement Diagram Block Definition Diagram Internal Block Diagram Parametric Diagram Activity Diagram Package Diagram Use Case Diagram S

SysML SysML Requirement Diagram Block Definition Diagram Internal Block Diagram Parametric Diagram Activity Diagram Package Diagram Use Case Diagram S SysML SysML SysML Requirement Diagram Block Definition Diagram Internal Block Diagram Parametric Diagram Activity Diagram Package Diagram Use Case Diagram Sequence Diagram State Machine Diagram SysML SysML

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

情報連携を実現するモデルベース手法のためのUML/SysMLについて

情報連携を実現するモデルベース手法のためのUML/SysMLについて 情報連携を実現するモデルベース手法のための UML/SysML について 2013-07-11 オージス総研米野巌視 かんたんな自己紹介 オージス総研について 大阪ガス株式会社の100% 出資の情報子会社 主に情報システムの構築 運用サービスを提供 1990 年代よりオブジェクト指向の普及に力を入れる 私について 1995 年頃より 主に金融や通信など情報システムを対象に オブジェクト指向のソフトウェア開発や教育に従事

More information

クラス図とシーケンス図の整合性確保 マニュアル

クラス図とシーケンス図の整合性確保 マニュアル Consistency between Class and Sequence by SparxSystems Japan Enterprise Architect 日本語版 クラス図とシーケンス図の整合性確保マニュアル (2011/12/6 最終更新 ) 1 1. はじめに UML を利用したモデリングにおいて クラス図は最も利用される図の 1 つです クラス図は対象のシステムなどの構造をモデリングするために利用されます

More information

Microsoft PowerPoint - 04_01_text_UML_03-Sequence-Com.ppt

Microsoft PowerPoint - 04_01_text_UML_03-Sequence-Com.ppt システム設計 (1) シーケンス図 コミュニケーション図等 1 今日の演習のねらい 2 今日の演習のねらい 情報システムを構成するオブジェクトの考え方を理解す る 業務プロセスでのオブジェクトの相互作用を考える シーケンス図 コミュニケーション図を作成する 前回までの講義システム開発の上流工程として 要求仕様を確定パソコンを注文するまでのユースケースユースケースから画面の検討イベントフロー アクティビティ図

More information

ISO 9001:2015 から ISO 9001:2008 の相関表 JIS Q 9001:2015 JIS Q 9001: 適用範囲 1 適用範囲 1.1 一般 4 組織の状況 4 品質マネジメントシステム 4.1 組織及びその状況の理解 4 品質マネジメントシステム 5.6 マネジ

ISO 9001:2015 から ISO 9001:2008 の相関表 JIS Q 9001:2015 JIS Q 9001: 適用範囲 1 適用範囲 1.1 一般 4 組織の状況 4 品質マネジメントシステム 4.1 組織及びその状況の理解 4 品質マネジメントシステム 5.6 マネジ ISO 9001:2008 と ISO 9001:2015 との相関表 この文書は ISO 9001:2008 から ISO 9001:2015 及び ISO 9001:2015 から ISO 9001:2008 の相関表を示す この文書は 変更されていない箇条がどこかということに加えて 新たな箇条 改訂された箇条及び削除された箇条がどこにあるかを明らかにするために用いることができる ISO 9001:2015

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

PowerPoint Presentation

PowerPoint Presentation Embedded CFD 1D-3D 連成によるエンジンコンパートメント熱収支解析手法の提案 June 9, 2017 . アジェンダ Embedded CFD 概要 エンコパ内風流れデモモデル 他用途への適用可能性, まとめ V サイクルにおける,1D-3D シミュレーションの使い分け ( 現状 ) 1D 機能的表現 企画 & 初期設計 詳細 3D 形状情報の無い段階 1D 1D 空気流れ計算精度に限度

More information

Microsoft Word - ESxR_Trialreport_2007.doc

Microsoft Word - ESxR_Trialreport_2007.doc 2007 年度 ESxR 実証実験 トライアル報告書 2008 年 3 月 31 日 ソフトウェア エンシ ニアリンク センター 組み込み系プロジェクト < 目次 > 1. はじめに... 3 第 1 章 ESCR 実証計画 ( 富士フイルムソフトウエア株式会社 )... 4 1. トライアルの目的... 4 2. H19 年度活動... 4 3. H20 年度トライアル計画... 6 4. 関係図...

More information

モデリング操作ガイド アクティビティ図編

モデリング操作ガイド アクティビティ図編 Modeling Operation Guide by SparxSystems Japan Enterprise Architect 日本語版 モデリング操作ガイド ( アクティビティ図編 ) (2018/09/25 最終更新 ) 目次 1. はじめに... 3 2. アクティビティ図固有の要素 操作... 4 2.1. レーン... 4 2.1.1. パーティション要素を利用する... 4 2.1.2.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション GSN を応用したナレッジマネジメントシステムの提案 2017 年 10 月 27 日 D-Case 研究会 国立研究開発法人宇宙航空研究開発機構 研究開発部門第三研究ユニット 梅田浩貴 2017/3/27 C Copyright 2017 JAXA All rights reserved 1 目次 1 課題説明 SECI モデル 2 GSN を応用したナレッジマネジメントシステム概要 3 ツリー型チェックリスト分析

More information

Microsoft PowerPoint - QConSysML_100.ppt [互換モード]

Microsoft PowerPoint - QConSysML_100.ppt [互換モード] システムエンジニアリングプロセスへの SysML 適用のポイント ~ システムモデリングの有効利用 ~ 2012/04/17 株式会社豆蔵 井上樹 Copyright 2012 Mamezou Co.,Ltd. All rights reserved 1 アジェンダ SysMLの現在 Model-Based Systems Engineering(MBSE) とは SysML 適用のポイント Copyright

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化 ISO 9001:2015 におけるプロセスアプローチ この文書の目的 : この文書の目的は ISO 9001:2015 におけるプロセスアプローチについて説明することである プロセスアプローチは 業種 形態 規模又は複雑さに関わらず あらゆる組織及びマネジメントシステムに適用することができる プロセスアプローチとは何か? 全ての組織が目標達成のためにプロセスを用いている プロセスとは : インプットを使用して意図した結果を生み出す

More information

Microsoft PowerPoint プレス発表_(森川).pptx

Microsoft PowerPoint プレス発表_(森川).pptx ESEC2016 プレス発表 Safety&Security 両規格に準拠した 統合開発支援サービスを開始 2016 年 5 月 11 日株式会社ヴィッツ執行役員機能安全開発部部長森川聡久 本発表の概要 株式会社ヴィッツは 機能安全開発支援だけでなく 組込みセキュリティ開発も統合した開発支援サービスを開始しました 2 当社の主な実績 機能安全 プロセス認証取得 IEC61508:2010 SIL3

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

Microsoft PowerPoint - ETEC-CLASS1資料 pptx

Microsoft PowerPoint - ETEC-CLASS1資料 pptx 組込みソフトウェア技術者試験 クラス 1 試験概要 2015 年 9 月 1 日試験開始! 2015 年 8 月 1 ETEC とは ETSS 準拠のスキル測定試験 組込みソフトウェア技術者試験クラス 2 ( 以下 ETEC クラス 2 ) 人材像 : 初級実務者 担当としてしっかりものを作れる 組込みソフトウェア技術を中心とした実装技術 スキルレベル1~2を測定 組込みソフトウェア技術者試験クラス1

More information

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx JAMA 電子情報フォーラム 2018 デジタルエンジニアリング プロセスの 一般社団法人 適用範囲拡大 電子制御情報の交換 本 動 業会 電子情報委員会デジタルエンジニアリング部会電子制御情報の交換タスクタスクリーダー : 菊地洋輔 2018 年 2 月 16 日 目次 1 活動の背景 2 活動のゴール 進め方 3 成果目標 4 活動計画 5 2017 年度の取り組み 6 2018 年度以降の取り組み

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SPI Japan 2012 車載ソフトウェア搭載製品の 機能安全監査と審査 2012 年 10 月 11 日 パナソニック株式会社デバイス社 菅沼由美子 パナソニックのデバイス製品 SPI Japan 2012 2 パナソニック デバイス社のソフト搭載製品 車載スピーカーアクティブ消音アクティブ創音歩行者用警告音 スマートエントリー グローバルに顧客対応 ソフトウェア搭載製品 車載 複合スイッチパネル

More information

テスト設計コンテスト

テスト設計コンテスト テスト設計コンテスト 17 話題沸騰ポット (GOMA-1015 型 ) テスト設計 目次 Page 2/25 1. はじめにチーム紹介チームの立ち位置テスト設計の流れ 2. テスト要求分析テスト要求分析の流れ仕様把握と機能要求分析非機能要求分析因子水準表 3. テストアーキテクチャ設計アーキテクチャ設計の流れテストアーキテクチャ全体俯瞰図機能アーキテクチャ非機能アーキテクチャシステム全体俯瞰図 4.

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BRMS への取り組みと導入事例 2013 年 11 月 15 日 ( 金 ) SCSK 株式会社 IT エンジニアリング事業本部ミドルウェア部 本日の内容 BRMS 適用のポイント BRMS の可能性 Page 1 Page 2 アプリケーション連携基盤 SCSKのRed Hat JBoss / ミドルウェア技術に関する取り組みの取り組み 世界のオープンソース コミュニティーから製品化されたソフトウェア

More information

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx PLCopen / OPC UA 通信仕様と PLCopen XML の紹介 ~PLC プログラムの生産システムエンジニアリング連携に向けて ~ Industrial Automation Forum - Forum 2015 PLCopen Japan Page 1 PLCopen の主な取り組み PLCopen は IEC 61131-3 プログラミングの普及促進と関連技術仕様の策定を う 営利団体

More information

日経ビジネス Center 2

日経ビジネス Center 2 Software Engineering Center Information-technology Promotion Agency, Japan ソフトウェアの品質向上のために 仕様を厳密に 独立行政法人情報処理推進機構 ソフトウェア エンジニアリング センター 調査役新谷勝利 Center 1 日経ビジネス 2012.4.16 Center 2 SW 開発ライフサイクルの調査統計データ ソフトウェア産業の実態把握に関する調査

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2012 年度 設計手法普及調査アンケート 集計報告 2013 年 2 月一般社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 6. アンケート集計結果 9 6.1 技術分野 対象 OS 開発言語について 10 6.2 使用している開発方法論について

More information

はじめに : ご提案のポイント

はじめに : ご提案のポイント 8. モデリングプロセスの構成と手順 モデル検査を用いた設計モデリングのプロセスを分類し それぞれのプロセスの流れと手順を示す 本章の概要は以下の通りである 対象読者目的想定知識得られる知見等 (1) 開発技術者 (2) 開発プロジェクト管理者モデル検査における設計モデリングにおいて 最初に利用できる情報に応じて モデリングプロセスが分類されることを示し その中で典型的なアーキテクチャ情報に基づくモデリングプロセスについて具体的に示す

More information

USDM Quick Start Guide 2014 年 1 月 第 1.0 版 第 29 年度 (2013 年度 ) SQiP 研究会第 6 分科会 D グループ

USDM Quick Start Guide 2014 年 1 月 第 1.0 版 第 29 年度 (2013 年度 ) SQiP 研究会第 6 分科会 D グループ 2014 年 1 月 第 1.0 版 第 29 年度 (2013 年度 ) SQiP 研究会第 6 分科会 D グループ 目次 1. はじめに... 2 2. USDM 記述の流れ... 3 3. USDM 記述ノウハウ... 4 3-1. USDM における要求 理由 仕様の定義... 4 3-2. 要求の階層化のポイント... 5 3-3. 要求の表現の記述ルールとポイント... 6 4. USDM

More information

テスト設計コンテスト

テスト設計コンテスト でこパン 462 1/2X 1/8 チーム紹介だよ チーム名 いしえもんリーダー あずにゃん ODA 発表者 ばやしこ いいだぬき でこパン 462 は入社 2 年目 ~4 年目のテスト経験の浅いひよっこチーム 普段の業務ではシステムテストを担当している 今回はテスト設計技術向上のため コンテスト参加を決めた でこパン 462 2/8 テスト設計の流れ 次は機能観点の説明! 話題沸騰ポット (GOMA-1015

More information

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ

目次 1 はじめに 登録商標 商標 注意事項 免債事項 SR-IOV の機能概要 性能検証事例 測定環境 測定結果 各方式による共有 NIC 性能比較 ( ポートあ ホワイトペーパー BladeSymphony Virtage SR-IOV のご紹介 2014 年 7 月発行 株式会社日立製作所 1 / 8 Copyright 2014 Hitachi, Ltd. All rights reserved 目次 1 はじめに... 3 1.1 登録商標 商標... 3 1.2 注意事項... 3 1.3 免債事項... 3 2 SR-IOV の機能概要... 4

More information

モデリングとは

モデリングとは コンピュータグラフィックス基礎 第 5 回曲線 曲面の表現 ベジェ曲線 金森由博 学習の目標 滑らかな曲線を扱う方法を学習する パラメトリック曲線について理解する 広く一般的に使われているベジェ曲線を理解する 制御点を入力することで ベジェ曲線を描画するアプリケーションの開発を行えるようになる C++ 言語の便利な機能を使えるようになる 要素数が可変な配列としての std::vector の活用 計算機による曲線の表現

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞

ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞 ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞 目次 知能ロボットシステム概要 コンポーネント指向ミドルウェア HRTMの開発 ASIMOへの適用 HRTMとOpenRTM-aistの連携動作 標準化活動 知能ロボットシステム概要 センサーやアクチュエーターは追加や変更される システム構成は変更したくない センサー, アクチュエーターの関係を抽象化した

More information

講義の進め方 第 1 回イントロダクション ( 第 1 章 ) 第 2 ~ 7 回第 2 章 ~ 第 5 章 第 8 回中間ミニテスト (11 月 15 日 ) 第 9 回第 6 章 ~ 第 回ローム記念館 2Fの実習室で UML によるロボット制御実習 定期試験 2

講義の進め方 第 1 回イントロダクション ( 第 1 章 ) 第 2 ~ 7 回第 2 章 ~ 第 5 章 第 8 回中間ミニテスト (11 月 15 日 ) 第 9 回第 6 章 ~ 第 回ローム記念館 2Fの実習室で UML によるロボット制御実習 定期試験 2 ソフトウェア工学 第 7 回 木曜 5 限 F205 神原弘之 京都高度技術研究所 (ASTEM RI) http://www.metsa.astem.or.jp/se/ 1 講義の進め方 第 1 回イントロダクション ( 第 1 章 ) 第 2 ~ 7 回第 2 章 ~ 第 5 章 第 8 回中間ミニテスト (11 月 15 日 ) 第 9 回第 6 章 ~ 第 12 14 回ローム記念館 2Fの実習室で

More information

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを メトリクス利用によるリファクタリング対象の自動抽出 ローランドディー. ジー. 株式会社 第 4 開発部 SC02 小林光一 e-mail:kouichi.kobayashi@rolanddg.co.jp 2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない

More information

変更要求管理テンプレート仕様書

変更要求管理テンプレート仕様書 目次 1. テンプレート利用の前提... 2 1.1 対象... 2 1.2 役割... 2 1.3 プロセス... 2 1.4 ステータス... 3 2. テンプレートの項目... 4 2.1 入力項目... 4 2.2 入力方法および属性... 5 2.3 他の属性... 5 3. トラッキングユニットの設定... 7 3.1 メール送信一覧... 7 3.1.1 起票... 7 3.1.2 検討中...

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Microsoft PowerPoint - 配布用資料.ppt

Microsoft PowerPoint - 配布用資料.ppt ソフトウェア設計プロセスの改革 オブジェクト指向導入による 生産性の向上 SEIKO EPSON CORPORATION BS 事業部 2006 6 28 開発対象製品の紹介 セイコーエプソン株式会社 BS 事業部 BS 事業推進部 TM( ターミナルモジュール ) のファームウェア開発 ( レシートプリンタ ラベルプリンタの開発 ) 業務用小型プリンタのファームウェア開発 レシート ラベル チェック

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 課題解決型アーキテクチャ事例と アーキテクト育成の取り組み 1. 課題解決型アーキテクチャ 2. アーキテクチャ事例紹介 3. アーキテクト育成の取り組み 4. まとめ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 Iwahashi.Masami@wak.msw.co.jp 1 1. 課題解決型アーキテクチャ 2 モデル アーキテクチャ アーキテクト モデルソフトウェアで実現したい機能を定義して機能を実現するソフトウェアの構造と振る舞いの定義

More information

Microsoft PowerPoint - se06-UML(UseCase)_2.ppt [互換モード]

Microsoft PowerPoint - se06-UML(UseCase)_2.ppt [互換モード] ソフトウェア工学 06: UML モデリング (Ⅰ) ユースケースモデリングとユースケース駆動型開発 理工学部経営システム工学科庄司裕子 前回の復習 : 考えてみよう! 個人表に 番号 氏名 クラス名という個人情報と 番号 科目名 ( ) という情報が記載されているとする これをERモデリングして ER 図を書いてみようヒント : クラス という独立エンティティ ( もの を表す) と 所属 という依存エンティティ

More information

PowerPoint Presentation

PowerPoint Presentation コンピュータ科学 II 担当 : 武田敦志 http://takeda.cs.tohoku gakuin.ac.jp/ 今日の話 オペレーティングシステム コンピュータを利用するための基本ソフト オペレーティングシステムの役割 プロセスの管理主記憶の管理出入力の管理ファイルの管理 タイムシェアリングシステム仮想記憶排他制御ディレクトリ構造

More information

ET2014 ミニセミナー フィーチャー図と BricRobo で 簡単プロダクトライン 2014/11/19~21 ( 株 ) 富士通コンピュータテクノロジーズ伊澤松太朗 1294karch01 Copyright 2014 FUJITSU COMPUTER TECHNOLOGIES LIMITE

ET2014 ミニセミナー フィーチャー図と BricRobo で 簡単プロダクトライン 2014/11/19~21 ( 株 ) 富士通コンピュータテクノロジーズ伊澤松太朗 1294karch01 Copyright 2014 FUJITSU COMPUTER TECHNOLOGIES LIMITE ET2014 ミニセミナー フィーチャー図と BricRobo で 簡単プロダクトライン 2014/11/19~21 ( 株 ) 富士通コンピュータテクノロジーズ伊澤松太朗 1294karch01 目次 1. 当社のご紹介 2. 派生開発でよくある課題 3. フィーチャー図のススメ 4. フィーチャー図と BricRobo による簡単プロダクトライン開発 1 当社のご紹介 2 会社概要 株式会社富士通コンピュータテクノロジーズ

More information

スライド 1

スライド 1 資料 WG 環 3-1 IPv6 環境クラウドサービスの構築 運用ガイドライン骨子 ( 案 ) 1 本骨子案の位置付け 本ガイドライン骨子案は 環境クラウドサービス を構築 運用する際に関連する事業者等が満たすことが望ましい要件等を規定するガイドライン策定のための準備段階として ガイドラインにおいて要件を設定すべき項目をまとめたものである 今後 平成 21 年度第二次補正予算施策 環境負荷軽減型地域

More information

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は 汎用 CAD に対する電気設計専用 CAD の優位性 株式会社ワコムソフトウェア営業本部ソフトウェア営業部 1. はじめに弊社は 1984 年に電気設計専用 CAD システムを発売以来 日本のものづくりを担うお客様とともに成長し 電気制御設計の現場で 要求レベルの高いお客様ニーズに応えるために改良に改良を重ね 卓越した製品力を誇るまでに至った しかしながら 電気設計の用途でも汎用 CAD を利用されている企業は多く存在している

More information

ら 4 つ全てのモデリング言語パーツは上手く統合されるので 何らかの変更を自動反映することやト レースすることができる ( 例えば抽象構文への変更に対して 制約 (B) 表記 (C) ジェネレータ (D) へ ) コラボレーション開発の極端な例では 言語の各パーツの定義が 別々の担当者によって同時に

ら 4 つ全てのモデリング言語パーツは上手く統合されるので 何らかの変更を自動反映することやト レースすることができる ( 例えば抽象構文への変更に対して 制約 (B) 表記 (C) ジェネレータ (D) へ ) コラボレーション開発の極端な例では 言語の各パーツの定義が 別々の担当者によって同時に Collaborative modeling and metamodeling コラボレーション開発の技術革新 Juha-Pekka Tolvanen MetaCase, jpt@metacase.com Abstract 殆どすべてのソフトウエア開発にコラボレーションが求められるが モデルベース開発もその例外ではない そしてコラボレーション開発の技術革新は二つのレベルに分類される その一つはモデル開

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

プレポスト【解説】

プレポスト【解説】 コース名 : シェルの機能とプログラミング ~UNIX/Linux の効率的使用を目指して ~ 1 UNIX および Linux の主な構成要素は シェル コマンド カーネルです プロセスとは コマンドやプログラムを実行する単位のことなので プロセスに関する記述は誤りです UNIX および Linux のユーザーインターフェースは シェル です コマンドを解釈するという機能から コマンドインタープリタであるともいえます

More information

DFDおよび構造図 マニュアル

DFDおよび構造図 マニュアル How to use Data Flow diagram and Structure Chart by SparxSystems Japan Enterprise Architect 日本語版 DFD および構造図マニュアル (2018/07/09 最終更新 ) 目次 : 1. はじめに... 3 2. 利用のための準備... 3 3. DFD を記述する... 4 3.1. プロセス... 8 3.2.

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

[ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ ) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) JIS C6832 ( 石英系マルチモード光ファイバ素線 1995) IETF RFC791(Internet Protocol

[ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ ) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) JIS C6832 ( 石英系マルチモード光ファイバ素線 1995) IETF RFC791(Internet Protocol 技術的条件集別表 26.1 IP 通信網 ISP 接続用ルータ接続インタフェース仕様 ( IPv4 PPPoE 方式 -IPv6 機能部 ) 注 : 本別表については NTT 西日本のみの適用です [ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ 1998.5.20) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) JIS C6832 ( 石英系マルチモード光ファイバ素線

More information

ワイヤレスセンサモジュール取扱説明書

ワイヤレスセンサモジュール取扱説明書 スポーツセンシング 遠隔制御アプリケーション (For Windows) [ スポーツセンシング無線 ] 目次 1. 概要... 3 1.1 はじめに... 3 2. 遠隔制御アプリケーション... 4 2.1 はじめに... 4 2.2 アプリケーションの構成... 4 3. 機能詳細... 5 3.1 共通メニュー... 5 3.1.1. 接続... 5 3.1.1. COM ポート... 5

More information

コードテンプレートフレームワーク 機能ガイド 基礎編

コードテンプレートフレームワーク 機能ガイド 基礎編 Code Template Framework Guide by SparxSystems Japan Enterprise Architect 日本語版コードテンプレートフレームワーク機能ガイド基礎編 (2018/05/16 最終更新 ) 1. はじめに Enterprise Architect には コードテンプレートフレームワーク ( 以下 CTF と表記します ) と呼ばれる機能が搭載されています

More information

V8_教育テキスト.dot

V8_教育テキスト.dot 1.1 Universal Volume Manager 概要 1.1.1 Universal Volume Manager とは Universal Volume Manager は VSP ファミリーに 機種の異なる複数のストレージ ( 外部ストレージ と呼ぶ ) を接続機能です 外部ストレージ接続時 Universal Volume Manager はこの外部ストレージをストレージシステムの内部ストレージ

More information

Microsoft Word 基_シラバス.doc

Microsoft Word 基_シラバス.doc 4-5- 基 Web アプリケーション開発に関する知識 1 4-5- 基 Web アプリケーション開発に関する知識 スクリプト言語や Java 言語を利用して Ruby on Rails やその他 Web フレームワークを活用して HTML(4, 5) XHTML JavaScript DOM CSS といったマークアップ言語およびスクリプト言語を活用しながら Ⅰ. 概要ダイナミックなWebサービスを提供するアプリケーションを開発する際に

More information

タッチパネルの概要

タッチパネルの概要 1. タッチパネルの概要 1.1 FA 現場での役割とはたらき GOT(Graphic Operation Terminal: グラフィックオペレーションターミナル ) とはプログラマブルコントローラ (Programmable Logic Controller:PLC) と通信して 作業者などに機械や装置の稼働状況を知らせたり 作業指示を行ったり GOT からの操作などの情報を表示したりする FA

More information

システムインテグレーション

システムインテグレーション IAF 第 6 回情報 モデリング 運用 WG セミナー 2015 年 2 月 9 日 ( 月 ) システムズモデリング言語 SysML の活用 慶應義塾大学大学院システムデザイン マネジメント研究科 教授西村秀和 http: lab.sdm.keio.ac.jp/nismlab/ 1 システムとは何か? システム : 相互に関連し全体として機能するコンポーネントの集まりハードウェア, ソフトウェア,

More information

Microsoft PowerPoint - B3-3_差替版.ppt [互換モード]

Microsoft PowerPoint - B3-3_差替版.ppt [互換モード] SQiP2011 B3-3 状態遷移および機能連携に着 した業務シナリオテストの新 法 2011 年 9 9 株式会社 NTT データ技術開発本部プロアクティブ テスティング COE 岩 真治 所属 紹介 株式会社 NTT データ 主な業務 技術開発本部プロアクティブ テスティング COE 昨年 12/1 に設 先進的な検証 テストサービスの提供とそれを実現するための研究開発に取り組む専 組織 社内のソフトウェア開発標準プロセス

More information

Oracle SQL Developer Data Modeler

Oracle SQL Developer Data Modeler Oracle SQL Developer Data Modeler テクニカル レビュー - 2009 年 6 月 アジェンダ テクニカル レビューおよび機能レビュー 開発者の生産性に重点 Oracle SQL Developer Data Modeler の概要 対象 テクノロジー 機能のレビュー パッケージの更新 Oracle SQL Developer

More information

Syslog、SNMPトラップ監視の設定

Syslog、SNMPトラップ監視の設定 AdRem NetCrunch 10 参考資料 NetCrunch は AdRem Software が開発し所有する監視ソフトウェアである 株式会社情報工房は日本における総販売代理店である 2018 Johokobo, Inc. 目次 1. SYSLOG SNMP トラップ監視の概要... 1 2. SYSLOG SNMP トラップ監視の設定方法... 1 2.1. NETCRUNCH オプションの設定...

More information

Microsoft PowerPoint - UML1_2009.ppt

Microsoft PowerPoint - UML1_2009.ppt モデリングとモデル UMLとは UMLの主要モデル UML1.4 UML2.1 UML の概要 モデリングとモデル モデリング 実世界の事柄を別の物体で表現すること モデルを作成すること プログラミング 処理をプログラム言語という手段で表現 オブジェクト指向 データ構造をオブジェクトの属性 処理を振る舞いとしてモデリング モデル ある視点から見たシステムの抽象的な表現 ダイアグラム ( 図 ) により表現

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

トレーニングのプレゼンテーション

トレーニングのプレゼンテーション XDDP の概要について (Vol.0.1) 2012 年 10 月 18 日佐藤創 Rights Reserved. 1 更新履歴 版数日付内容担当 0.1 2012/10/18 新規作成佐藤創 Rights Reserved. 2 XDDP とは? Rights Reserved. 3 XDDP とは? XDDP(eXtreme Derivative Development Process) 主に組込み系の派生開発の作り込み品質の向上を目的とした

More information

要求仕様管理テンプレート仕様書

要求仕様管理テンプレート仕様書 目次 1. テンプレート利用の前提... 2 1.1 対象... 2 1.2 役割... 2 1.3 プロセス... 2 1.4 ステータス... 3 2. テンプレートの項目... 4 2.1 入力項目... 4 2.2 入力方法および属性... 5 2.3 他の属性... 6 3. トラッキングユニットの設定... 7 3.1 メール送信一覧... 7 3.1.1 起票... 7 3.1.2 作成中...

More information

CPUスケジューリング

CPUスケジューリング 5-6 プロセス管理と CPU スケジューリング 1 多重プログラミングの概念 CPU を無駄なく使いたい ジョブ A ジョブ B 開始遊休状態 : 入力 開始遊休状態 : 入力 遊休状態 : 入力 遊休状態 : 入力 停止 停止 図 4.1 二つの上部 A,B の実行 2 多重プログラミングの概念 ジョブ A 開始遊休状態 : 入力 遊休状態 : 入力 停止 ジョブ B 待ち 開始遊休状態 : 入力

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

アドバンスト・フォーマットディスクのパフォーマンス

アドバンスト・フォーマットディスクのパフォーマンス White Paper アドバンスト フォーマットディスクのパフォーマンス White Paper FUJITSU Storage ETERNUS DX S4/S3 series アドバンスト フォーマットディスクのパフォーマンス 物理 4K セクターを使用した HDD の新技術により ストレージ密度 およびエラー訂正機能が向上されています その新技術の HDD が ETERNUS DX S4/S3

More information

改版履歴 Ver. 日付履歴初版 2014/7/10 - 目次 1. はじめに クラスター構築の流れ Windows Server Failover Cluster をインストールするための準備 OS のセットアップ時の注意... -

改版履歴 Ver. 日付履歴初版 2014/7/10 - 目次 1. はじめに クラスター構築の流れ Windows Server Failover Cluster をインストールするための準備 OS のセットアップ時の注意... - NX7700x シリーズ Windows Server 2012 R2 Windows Server Failover Cluster インストール手順書 Microsoft Windows Windows Server は 米国 Microsoft Corporation の米国およびその他の国における登録商標または商標です その他 記載されている会社名 製品名は 各社の登録商標または商標です 免責条項

More information

パーティションおよびコール検 索スペース(コーリング サーチ スペース)

パーティションおよびコール検 索スペース(コーリング サーチ  スペース) 13 CHAPTER パーティションおよびコール検索スペース ( コーリングサーチスペース ) パーティションおよびコール検索スペースは コールの制限を実装する機能 および同じ Cisco CallManager 上でクローズ型のダイヤルプラングループを作成する機能を提供します この章の構成は 次のとおりです パーティションとコール検索スペースの概要 (P.13-2) 例 (P.13-4) ガイドラインとヒント

More information

KIT BPI 研究会資料 ビジネスアナリシス知識体系 (BABOK) の解釈 ー IIBA 日本支部 WG 活動を通して - 1. 昨年 5 月のおさらい (BABOK 概要 ) 2. BABOK 疑問点の解説 (FAQ 集より ) 3. BARC-NETのご紹介 4. 今後のBABOK 2011

KIT BPI 研究会資料 ビジネスアナリシス知識体系 (BABOK) の解釈 ー IIBA 日本支部 WG 活動を通して - 1. 昨年 5 月のおさらい (BABOK 概要 ) 2. BABOK 疑問点の解説 (FAQ 集より ) 3. BARC-NETのご紹介 4. 今後のBABOK 2011 KIT BPI 研究会資料 ビジネスアナリシス知識体系 (BABOK) の解釈 ー IIBA 日本支部 WG 活動を通して - 1. 昨年 5 月のおさらい (BABOK 概要 ) 2. BABOK 疑問点の解説 (FAQ 集より ) 3. BARC-NETのご紹介 4. 今後のBABOK 2011/7/20 IT コンサル & デザインラボ株式会社村田茂之 mail (smurata@condlabo.jp

More information

<4D F736F F F696E74202D2091E63389F15F8FEE95F1835A834C A CC B5A8F FD E835A835890A78CE C CC835A834C A A2E >

<4D F736F F F696E74202D2091E63389F15F8FEE95F1835A834C A CC B5A8F FD E835A835890A78CE C CC835A834C A A2E > 身近な情報利活用による生活環境の事例をベースに ネットワークがなかった時代の生活環境と比較させながら IT により生活が豊かに変化したことについて解説します 1. 身近な情報利活用の事例 スライド上部の事例を紹介します 学生が利用している情報サービスについて問いかけます IT によって実現していることについて説明します 2. ネットワークがなかった時代 スライド上部の事例を活用し 過去の事例を紹介します

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

XMP structure: 1

XMP structure: 1 Jupyter カーネルのインストールインストールガイド バージョン : 4.1.2 Copyright 2002-2019 World Programming Limited www.worldprogramming.com 目次 はじめに... 3 WPS カーネルをインストールする (Microsoft Windows)...4 WPS カーネルをインストールする (Linux)... 5 Jupyter

More information

背景 1 / Reprinted with permission from paper c 2013 SAE International.

背景 1 / Reprinted with permission from paper c 2013 SAE International. 車載グラフィックメータ開発プロセス革新への挑戦 ~ REMO ZIPC による 3D HMI 開発事例 ~ 西川良一株式会社デンソー情報通信システム開発部 背景 1 / 17 2008 2009 2010 2011 2012 2013 Reprinted with permission from paper 2013-01 01-04250425 c 2013 SAE International.

More information

本日の話題 当社のご紹介 SysMLの概要について SysMLの活用 SysML と RT ミドルウェアとの連携について

本日の話題 当社のご紹介 SysMLの概要について SysMLの活用 SysML と RT ミドルウェアとの連携について ロボット開発における SysML の活用 株式会社チェンジビジョン岩永寿来 本日の話題 当社のご紹介 SysMLの概要について SysMLの活用 SysML と RT ミドルウェアとの連携について Change Vision のご紹介 株式会社チェンジビジョン 設立 2006 年 2 月 代表取締役社長平鍋健児 h5p://blogs.itmedia.co.jp/hiranabe/ 事業領域 設計支援

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

情報漏洩対策ソリューション ESS REC のご説明

情報漏洩対策ソリューション ESS REC のご説明 ESS-REC for SuperStream の概要について 平成 18 年 6 月 株式会社ソルクシーズ ソリューションビジネス事業本部 セキュリティソリューション部 目次 背景 目的 製品概要 製品概要図 製品構成 機能概要 詳細機能 ハード構成 その他 背景 毎日のように報道される情報漏洩事故や一部企業で問題になっている財務報告に関する虚偽記載など IT の発展によりこれまでに考えられない事件が多発しています

More information

ISO9001:2015規格要求事項解説テキスト(サンプル) 株式会社ハピネックス提供資料

ISO9001:2015規格要求事項解説テキスト(サンプル) 株式会社ハピネックス提供資料 テキストの構造 1. 適用範囲 2. 引用規格 3. 用語及び定義 4. 規格要求事項 要求事項 網掛け部分です 罫線を引いている部分は Shall 事項 (~ すること ) 部分です 解 ISO9001:2015FDIS 規格要求事項 Shall 事項は S001~S126 まで計 126 個あります 説 網掛け部分の規格要求事項を講師がわかりやすく解説したものです

More information

28 3 21 7 10 22 2 2

28 3 21 7 10 22 2 2 28 10 2 () 1 28 3 21 7 10 22 2 2 28 1. 2. () 28 6 29 11 3. 4. 5. ) 6. 7. 3 28 5 IC 28 5 28 6 1.5 2 28 7 1 28 9 28 9. OK 7 28 14 28 15 28 22 22 7 10 200 2 22 10 9 100 23 2 12 100 FAX 1 2 TEL FAX 16 28 20

More information

Microsoft Word - r0703.doc

Microsoft Word - r0703.doc 新開発のパケット暗号処理方式により 暗号通信を高速化世界最速の業界標準 (IPsec) 対応暗号通信 (VP) 装置を開発 ( 開発 o.0703) 007 年 月 5 日三菱電機株式会社 三菱電機株式会社 ( 執行役社長 : 下村節宏 ) は パケット 暗号通信の業界標準規格 IPsecv に準拠して あらゆるサイズのパケットを 0Gbit イーサネット 3 の設計上の最大転送速度 ( ワイヤスピード

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information