スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev A

2 コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2

3 SAU の概要 3

4 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4

5 UART 通信機能のプログラム サンプル紹介 5

6 仕様 (1/2) UART 通信機能のプログラム例対向機器から受信を行い 受信キャラクタまたは受信エラーの種別に応じたメッセージを送信します 受信と送信の対応は以下の通りです 受信キャラクタ T t 上記以外受信エラーパリティ エラーフレーミング エラーオーバーラン エラー 応答メッセージ OK r n ok r n UC r n 応答メッセージ PE r n OE r n FE r n 6

7 仕様 (2/2) < 設定条件 > 高速オンチップオシレータのクロックは 32MHz で動作します CPU/ 周辺ハードウェア クロックは 32MHz で動作します SAU0 チャネル 0 1 を UART として使用します データ出力は P12/TxD0 端子 データ入力は P11/RxD0 端子を使用します データ長は 8 ビットを使用します データ転送方向設定は LSB ファーストを使用します パリティ設定は偶数パリティを使用します 受信データ レベル設定は標準を使用します 転送レートは 9600bps を使用します 受信完了割り込み (INTSR0) 送信完了割り込み (INTST0) エラー割り込み (INTSRE0) を使用します INTSR0 INTST0 INTSRE0 の割り込み優先順位は低優先を使用します 7

8 初期設定のフロー 8

9 シリアル アレイ ユニットの設定フロー fclk の 4 クロック以上間隔をあける 9

10 シリアル アレイ ユニットへのクロック供給開始 周辺イネーブル レジスタ 0(PER0) 各周辺ハードウエアへのクロック供給許可 / 禁止の設定 10

11 SAU の動作クロックと転送クロック シリアル アレイ ユニット0 チャネル0 レジスタ 0 f CLK 32MHz プリスケーラ 16 セレクタ クロック 0 2 種類のクロックと外部クロックから f MCK セレクタ選択セレクタ 分周 クロック制御 f TCLK ユニット 0 共通の 2 種類のクロック 外部クロック チャネル 1 転送クロック 0 16 セレクタ クロック 1 セレクタ f MCK セレクタ クロック制御 f TCLK 外部クロック 11

12 クロック周波数の設定 シリアル クロック選択レジスタ 0(SPS0) CK00 CK01 動作クロックの設定 CK01 CK サンプルの周辺ハードウエア クロック 12

13 シリアル アレイ ユニットの設定関数 void R_SAU0_Create(void) { SAU0EN = 1; NOP(); NOP(); NOP(); NOP(); SPS0 = 0x0044; R_UART0_Create(); } fclk の 4 クロック以上間隔をあける 13

14 UART0 の設定フロー (1/4) R_UART0_Create() 1 チャネルの動作停止 RxD 端子のノイズ フィルタの設定 割り込みの禁止 エラー フラグのクリア 割り込み要求フラグのクリア 割り込み優先度の設定 チャネル 1 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 チャネル 0 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 チャネル 0 の出力の設定 入出力端子の設定 1 return 14

15 チャネルの動作停止 シリアル チャネル停止レジスタ 0(ST0) チャネルの動作停止 チャネル 1 チャネル 0 15

16 割り込みの禁止 割り込みマスク フラグ レジスタ (MK0H) 対応するマスカブル割り込み処理の許可 / 禁止を設定 エラー割り込み SREMK0 ビット 受信完了割り込み SRMK0 ビット 送信完了割り込み STMK0 ビット 16

17 割り込み要求フラグのクリア 割り込み要求フラグ レジスタ (IF0H) 対応する割り込み要求の発生または命令の実行によりセット リセット信号発生時または命令の実行によりクリア 割り込みが受け付けられた場合は自動的にクリア エラー割り込み SREIF0 ビット 受信完了割り込み SRIF0 ビット 送信完了割り込み STIF0 ビット 17

18 割り込み優先順位レベルの設定 優先順位指定フラグ レジスタ (PR00H, PR10H) PR00H レジスタと PR10H レジスタを組み合わせて, 対応するマスカブル割り込みの優先順位レベルを設定 エラー割り込み SREPR00 10 ビット 受信完了割り込み SRPR00 10 ビット 送信完了割り込み STPR00 10 ビット 18

19 UART0 の設定関数 (1/4) void R_UART0_Create(void) { ST0 = 0x0003; STMK0 = 1; STIF0 = 0; SRMK0 = 1; SRIF0 = 0; SREMK0 = 1; SREIF0 = 0; STPR10 = 1; STPR00 = 1; SRPR10 = 1; SRPR00 = 1; SREPR10 = 1; SREPR00 = 1; SMR00 = 0x0022; SCR00 = 0x8297; SDR00 = 0xce00; } NFEN0 = 0x01; SIR01 = 0x0007; SMR01 = 0x0122; SCR01 = 0x4697; SDR01 = 0xce00; SO0 = 0x0001; SOL0 = 0x0000; SOE0 = 0x0001; PM1 = 0x02; P1 = 0x04; PM1 &= 0xfb; R_UART0_Create() チャネルの動作停止 割り込みの禁止 割り込み要求フラグのクリア 割り込み優先順位レベルの設定 チャネル 0 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 1 19

20 UART0 の設定フロー (2/4) R_UART0_Create() チャネルの動作停止 割り込みの禁止 割り込み要求フラグのクリア 割り込み優先順位レベルの設定 チャネル 0 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 送信 1 20

21 送信チャネルの動作モードの設定 (1/4) シリアル モード レジスタ 00(SMR00) 動作クロックの選択 クロック 0=2MHz クロック 1=2MHz クロック 0=2MHz UART 通信モードでは設定固定 21

22 送信チャネルの動作モードの設定 (2/4) シリアル モード レジスタ 00(SMR00) スタート トリガ要因の選択 UART 送信モードでは設定固定 22

23 送信チャネルの動作モードの設定 (3/4) シリアル モード レジスタ 00(SMR00) 動作モードの設定 UART 通信モードでは設定固定 23

24 送信チャネルの動作モードの設定 (4/4) シリアル モード レジスタ 00(SMR00) 割り込み要因の選択 24

25 送信チャネルの通信動作の設定 (1/7) シリアル通信動作設定レジスタ 00(SCR00) 動作モードの設定 UART 送信モードでは設定固定 25

26 送信チャネルの通信動作の設定 (2/7) シリアル通信動作設定レジスタ 00(SCR00) データとクロックの位相選択 UART 通信モードでは設定固定 26

27 送信チャネルの通信動作の設定 (3/7) シリアル通信動作設定レジスタ 00(SCR00) エラー割り込み信号のマスク可否の選択 UART 送信モードでは設定固定 27

28 送信チャネルの通信動作の設定 (4/7) シリアル通信動作設定レジスタ 00(SCR00) パリティ ビットの設定 28

29 送信チャネルの通信動作の設定 (5/7) シリアル通信動作設定レジスタ 00(SCR00) データ転送順序の選択 29

30 送信チャネルの通信動作の設定 (6/7) シリアル通信動作設定レジスタ 00(SCR00) ストップ ビットの設定 30

31 送信チャネルの通信動作の設定 (7/7) シリアル通信動作設定レジスタ 00(SCR00) データ長の設定 31

32 送信チャネルの転送クロックの設定 シリアル データ レジスタ 00(SDR00) 動作クロックの分周設定 fmck = 2MHz fmck/208 2MHz/ Hz 32

33 UART0 の設定関数 (2/4) void R_UART0_Create(void) { ST0 = 0x0003; STMK0 = 1; STIF0 = 0; SRMK0 = 1; SRIF0 = 0; SREMK0 = 1; SREIF0 = 0; STPR10 = 1; STPR00 = 1; SRPR10 = 1; SRPR00 = 1; SREPR10 = 1; SREPR00 = 1; SMR00 = 0x0022; SCR00 = 0x8297; SDR00 = 0xce00; } NFEN0 = 0x01; SIR01 = 0x0007; SMR01 = 0x0122; SCR01 = 0x4697; SDR01 = 0xce00; SO0 = 0x0001; SOL0 = 0x0000; SOE0 = 0x0001; PM1 = 0x02; P1 = 0x04; PM1 &= 0xfb; R_UART0_Create() チャネルの動作停止 割り込みの禁止 割り込み要求フラグのクリア 割り込み優先順位レベルの設定 チャネル 0 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 1 33

34 UART0 の設定フロー (3/4) 1 RxD 端子のノイズ フィルタの設定 エラー フラグのクリア チャネル 1 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 受信 チャネル 0 の出力の設定 入出力端子の設定 return 34

35 受信チャネルの動作モードの設定 (1/4) シリアル モード レジスタ 01(SMR01) 動作クロックの選択 クロック 0=2MHz クロック 1=2MHz クロック 0=2MHz UART 通信モードでは設定固定 35

36 受信チャネルの動作モードの設定 (2/4) シリアル モード レジスタ 01(SMR01) スタート トリガ要因の選択 UART 受信モードでは設定固定 36

37 受信チャネルの動作モードの設定 (3/4) シリアル モード レジスタ 01(SMR01) 受信データのレベル反転の設定 37

38 受信チャネルの動作モードの設定 (4/4) シリアル モード レジスタ 01(SMR01) 割り込み要因の選択 UART 受信モードでは設定固定 38

39 受信チャネルの通信動作の設定 (1/3) シリアル通信動作設定レジスタ 01(SCR01) 動作モードの設定 UART 受信モードでは設定固定 39

40 受信チャネルの通信動作の設定 (2/3) シリアル通信動作設定レジスタ 01(SCR01) エラー割り込み信号のマスク可否の選択 40

41 受信チャネルの通信動作の設定 (3/3) シリアル通信動作設定レジスタ 01(SCR01) パリティ ビットの設定 データ転送順序の選択 ストップ ビットの設定 データ長の設定 偶数パリティ LSBファーストストップビット = 1ビットデータ長 = 8ビット 41

42 受信チャネルの転送クロックの設定 シリアル データ レジスタ 01(SDR01) 動作クロックの分周設定 fmck = 2MHz fmck/208 2MHz/ Hz 42

43 UART0 の設定関数 (3/4) void R_UART0_Create(void) { ST0 = 0x0003; STMK0 = 1; STIF0 = 0; SRMK0 = 1; SRIF0 = 0; SREMK0 = 1; SREIF0 = 0; STPR10 = 1; STPR00 = 1; SRPR10 = 1; SRPR00 = 1; SREPR10 = 1; SREPR00 = 1; SMR00 = 0x0022; SCR00 = 0x8297; SDR00 = 0xce00; } NFEN0 = 0x01; SIR01 = 0x0007; SMR01 = 0x0122; SCR01 = 0x4697; SDR01 = 0xce00; SO0 = 0x0001; SOL0 = 0x0000; SOE0 = 0x0001; PM1 = 0x02; P1 = 0x04; PM1 &= 0xfb; 1 RxD 端子のノイズ フィルタの設定 エラー フラグのクリア チャネル 1 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 チャネル 0 の出力の設定 入出力端子の設定 return 43

44 UART0 の設定フロー (4/4) R_UART0_Create() 1 チャネルの動作停止 RxD 端子のノイズ フィルタの設定 割り込みの禁止 エラー フラグのクリア 割り込み要求フラグのクリア 割り込み優先度の設定 チャネル 1 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 チャネル 0 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 チャネル 0 の出力の設定 入出力端子の設定 1 return 44

45 RxD 端子のノイズ フィルタの設定 ノイズ フィルタ許可レジスタ 0(NFEN0) ノイズ フィルタの使用可否 RxD0 端子 UART 受信モードでは設定固定 45

46 エラー フラグのクリア シリアル フラグ クリア トリガ レジスタ 01(SIR01) チャネルの各エラー フラグのクリア 受信チャネル ( チャネル 1) 46

47 チャネル 0 の出力の設定 (1/2) シリアル出力レジスタ 0(SO0) シリアル出力のバッファ レジスタ ユニット 0 のチャネル 0 SOE00=1 のときの書き換えは無視 シリアル出力許可レジスタ 0(SOE0) シリアル通信動作の出力許可 / 停止を設定 47

48 チャネル 0 の出力の設定 (2/2) シリアル出力許可レジスタ 0(SOE0) シリアル通信動作の出力許可 / 停止を設定 ユニット 0 のチャネル 0 シリアル出力レベル レジスタ 0(SOL0) データ出力レベルの反転を設定する 48

49 入出力端子の設定 ポート レジスタ (P1) ポートの出力ラッチの値を設定 TxD 端子 ポート モード レジスタ (PM1) ポートの入出力モードの選択 TxD 端子 RxD 端子 49

50 UART0 の設定関数 (4/4) void R_UART0_Create(void) { ST0 = 0x0003; STMK0 = 1; STIF0 = 0; SRMK0 = 1; SRIF0 = 0; SREMK0 = 1; SREIF0 = 0; STPR10 = 1; STPR00 = 1; SRPR10 = 1; SRPR00 = 1; SREPR10 = 1; SREPR00 = 1; SMR00 = 0x0022; SCR00 = 0x8297; SDR00 = 0xce00; } NFEN0 = 0x01; SIR01 = 0x0007; SMR01 = 0x0122; SCR01 = 0x4697; SDR01 = 0xce00; SO0 = 0x0001; SOL0 = 0x0000; SOE0 = 0x0001; PM1 = 0x02; P1 = 0x04; PM1 &= 0xfb; 1 RxD 端子のノイズ フィルタの設定 エラー フラグのクリア チャネル 1 の設定 動作モードの設定 通信動作の設定 転送クロックの設定 チャネル 0 の出力の設定 入出力端子の設定 return 50

51 UART0 の設定関数のコード生成 (1/3) 51

52 UART0 の設定関数のコード生成 (2/3) 転送完了割り込み バッファ空き割り込み 52

53 UART0 の設定関数のコード生成 (3/3) 53

54 main のフロー main R_UART0_Receive R_UART0_Start オーバラン エラー? HALT モードへ移行 受信割り込み禁止 受信エラー検出? Yes 受信エラーを判定し 対応したデータの送信 No 受信データを判定し 対応したデータの送信 R_UART0_Receive 受信割り込み許可 54

55 UART0 動作開始関数 void R_UART0_Start(void) { STIF0 = 0; STMK0 = 0; SRIF0 = 0; SRMK0 = 0; SREIF0 = 0; SREMK0 = 0; SO0 = 0x0001; SOE0 = 0x0001; SS0 = 0x0003; } 55

56 UART 動作の許可 シリアル チャネル開始レジスタ 0(SS0) 通信 / カウント開始の許可 受信 送信 56

57 正常受信のシーケンス メイン 割り込み HALT() 受信待ち R_UART0_Send() 格納先アドレスと受信回数 (1 回 ) を通知 R_UART0_Interrupt_Receive() 通知アドレスに受信データを格納 R_UART0_Callback_ReceiveEnd() 正常終了を通知 57

58 エラー受信のシーケンス メイン 割り込み HALT() 受信待ち R_UART0_Receive() 格納先アドレスと受信回数 (1 回 ) を通知 R_UART0_Interrupt_Error() エラーの判定 R_UART0_Callback_Error() エラー情報を通知 58

59 受信完了割り込み処理 interrupt void R_UART0_Interrupt_Receive(void) { uint8_t rx_data; } rx_data = RXD0; if (g_uart0rxlen > g_uart0rxcnt) { *gp_uart0rxaddress = rx_data; gp_uart0rxaddress++; g_uart0rxcnt++; if (g_uart0rxlen == g_uart0rxcnt) { R_UART0_Callback_ReceiveEnd(); } } void R_UART0_Callback_ReceiveEnd(void) { g_uart0rxerr = 0U; /* Clear error flag */ } 59

60 受信データの読み出し シリアル データ レジスタ 01(SDR01) 下位 8 ビットは受信バッファ レジスタ 動作クロックの分周設定 RXD0 60

61 エラー割り込み処理 interrupt void R_UART0_Interrupt_Error(void) { uint8_t err_type; } *gp_uart0rxaddress = RXD0; err_type = (uint8_t)(ssr01 & 0x0007U); SIR01 = (uint16_t)err_type; R_UART0_Callback_Error(err_type); void R_UART0_Callback_Error(uint8_t err_type) { g_uart0rxerr = err_type; /* Get error type */ } 61

62 エラー フラグの読み出し シリアル ステータス レジスタ 01(SSR01) 通信ステータス, エラー発生状況を表示するレジスタ 62

63 トリガによるエラー フラグのクリア シリアル フラグ クリア トリガ レジスタ 01(SIR 01) エラー フラグをクリアするためのトリガ レジスタ エラーフラグをクリア フレーミングパリティオーバラン 63

64 送信のシーケンス メイン 割り込み R_UART0_Receive() 1 バイトデータの送信後 残りの送信回数と送信メッセージのアドレスを通知 R_UART0_Interrupt_Send() 1 バイトデータの送信 3 回 R_UART0_Callback_ReceiveEnd() 送信終了を通知 R_UART0_Interrupt_Send() 1 バイトデータの送信 64

65 UART0 データ送信関数 MD_STATUS R_UART0_Send(uint8_t* txbuf, uint16_t txnum) { MD_STATUS status = MD_OK; } if (txnum < 1U) { status = MD_ARGERROR; } else { gp_uart0txaddress = txbuf; g_uart0txcnt = txnum; STMK0 = 1U; /* disable INTST0 interrupt */ TXD0 = *gp_uart0txaddress; gp_uart0txaddress++; g_uart0txcnt--; STMK0 = 0U; /* enable INTST0 interrupt */ } return (status); 65

66 送信チャネルの転送クロックの設定 シリアル データ レジスタ 00(SDR00) 下位 8 ビットは送信バッファ レジスタ 動作クロックの分周設定 TXD0 66

67 送信完了割り込み処理 interrupt void R_UART0_Interrupt_Send(void) { if (g_uart0txcnt > 0U) { TXD0 = *gp_uart0txaddress; gp_uart0txaddress++; g_uart0txcnt--; } else { R_UART0_Callback_SendEnd(); } } void R_UART0_Callback_SendEnd(void) { g_uart0txend = 1U; /* Set transmission end flag */ } 67

68 END ルネサスエレクトロニクス株式会社

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

RL78/G10 シリアル・アレイ・ユニット (UART通信)(C言語編) CC-RL

RL78/G10 シリアル・アレイ・ユニット (UART通信)(C言語編) CC-RL アプリケーションノート RL78/G10 R01AN3145JJ0100 Rev. 1.00 要旨 本アプリケーションノートでは シリアル アレイ ユニット (SAU) による UART 通信の使用方法を説明します 対向機器から送られてくる ASCII 文字を解析し 応答処理を行います 対象デバイス RL78/G10 本アプリケーションノートを他のマイコンへ適用する場合 そのマイコンの仕様にあわせて変更し

More information

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL アプリケーションノート RL78/I1D R01AN3096JJ0101 Rev.1.01 要旨 本アプリケーションノートでは RL78/I1D の中速オンチップ オシレータを利用した UART 通信方法を説明します UART 通信で求められる周波数精度を有する高速オンチップ オシレータを用いて 中速オンチップ オシレータの発振周期を定期的に測定します その測定結果に基づいて UART 通信のボーレートを補正することで

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

このページは読み飛ばして構いません 1は通常はあまり意識しない ( マイコンの端子ではほぼ決まっている ) のですが,PC やその他の機器と接続する場合に問題になります 前述の RS-232-C は ±12V で駆動するインタフェースで, 通常は論理が反転してマイコンに接続されます 最も古くからある

このページは読み飛ばして構いません 1は通常はあまり意識しない ( マイコンの端子ではほぼ決まっている ) のですが,PC やその他の機器と接続する場合に問題になります 前述の RS-232-C は ±12V で駆動するインタフェースで, 通常は論理が反転してマイコンに接続されます 最も古くからある 調歩同期通信についてこれまで, シリアル通信としては質問が多かった I2C バスについて, サンプルプログラムを提供してきました ここらで, 目先を変えて同じシリアル通信で, もっと古くから使われている調歩同期通信に取り組んでいこうかと思います そこで, 最初に調歩同期通信について, さっとおさらいをしてみます ( かなり, 無駄な記述が多いですが, 我慢してください ) [ 概要 ] 調歩同期通信は古くから使われているシリアル通信方式で,PC

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

RL78/G10 簡易I2C 機能によるEEPROM 制御 CC-RL

RL78/G10 簡易I2C 機能によるEEPROM 制御 CC-RL アプリケーションノート 簡易 I2C 機能による EEPROM 制御 CC-RL R01AN3081JJ0100 Rev. 1.00 要旨 本アプリケーションノートでは シリアル アレイ ユニット (SAU) の簡易 I2C 機能を使用して 外部シリアル EEPROM を制御する方法を説明します 簡易 I2C 機能を使用して I2C バス接続によるシリアル EEPROM の読み出し 書き込みを割り込み処理により実現します

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー 初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コード生成を利用したプログラム作成 ( ポート入力 ) P47 次回 ( 第 4 回 ) は, 以下の内容を予定しています

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

スライド 1

スライド 1 RX63N 周辺機能紹介 ETHERC/EDMAC イーサネットコントローラ / イーサネットコントローラ用 DMA コントローラ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ ETHERC/EDMAC の概要 プログラムサンプル プログラム仕様 プログラム フローチャート PHY-LSI の初期設定 PHY-LSI

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

Smart Analog IC101 サンプルコードマイコン置き換え手順書

Smart Analog IC101 サンプルコードマイコン置き換え手順書 アプリケーションノート Smart Analog IC101 R21AN0013JJ0100 Rev1.00 要旨 本ドキュメントでは Smart Analog IC101( 以下 SAIC101) API 関数を RL78/L13 グループ以外のマイコンで使用する場合の置き換え手順について説明します 動作確認デバイス Smart Analog IC 101( 品名 :RAA730101) 目次 1.

More information

アナログ・接点変換器

アナログ・接点変換器 LoRa/ 通信変換器 HLR-RS485 通信仕様書 (Modbus) インターフェース 2019 年 02 月 19 日 改訂履歴 日付改訂者改訂内容 2018/09/14 野村初版 2019/02/19 山下 改訂 1 P12 説明文修正 レジスタ割付修正 P13 キャリアセンス異常エラー追加 承認確認作成 ( 3 ) 目次 1 概要... 4 2 基本仕様... 4 3 通信モードについて...

More information

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 目次 1. 配線方法... 3 2. KV-Studio 設定... 6 3. 制御方法... 7 4. 一般仕様... 9 2 1. 配線方法 A B C 3 4 2 E 1 D 購入時の内容物 番号 項目 1 2 3 SP-1221 基板 MIL34 ピンフラットケーブル 2m(KV-C16XTD)

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

RX210、RX21A、RX220グループ アプリケーションノート SCIを用いた調歩同期式通信

RX210、RX21A、RX220グループ アプリケーションノート SCIを用いた調歩同期式通信 アプリケーションノート R01AN1423JJ0101 Rev.1.01 要旨 本アプリケーションノートでは のシリアルコミュニケーションインタフェース ( 以下 SCI) を使用して 調歩同期式のシリアル送受信を行う方法について説明します 対象デバイス 本アプリケーションノートを他のマイコンへ適用する場合 そのマイコンの仕様にあわせて変更し 十分評価してください R01AN1423JJ0101 Rev.1.01

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41

RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41 RX210 グループ MTU2 を用いた相補 PWM モードの波形出力 要旨 本サンプルコードでは MTU2 を用いて相補 PWM モードの波形を出力する方法について説 明します 対象デバイス RX210 1 / 41 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 4 3.1 使用端子一覧... 4 4. ソフトウェア説明... 5 4.1 動作概要...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

変更履歴 Revision 日付 内容 /10/10 初版 /10/24 車載関連説明文削除 /10/06 会社所在地を本社に修正 2

変更履歴 Revision 日付 内容 /10/10 初版 /10/24 車載関連説明文削除 /10/06 会社所在地を本社に修正 2 DATA SHEET TE7740RPF Processor Peripheral I/O Expander 1 変更履歴 Revision 日付 内容 1.00 2014/10/10 初版 1.01 2014/10/24 車載関連説明文削除 1.02 2015/10/06 会社所在地を本社に修正 2 目次 1. 概要... 7 2. 特徴... 7 3. ブロック図... 9 4. 端子配列...

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができ

tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができ tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができます 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成したプロジェクトです

More information

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例 MODBUS RTU 通信時の配線例 ( 例 )FPΣ と弊社製温調器 KT シリーズ通信します マスタとして使用する FPΣ の MODBUS マスタ機能を使用し スレーブの KT シリーズのデータを読み出し 書き込みを行います マスタ データ書き込み スレーブ データ読み出し RS485 FPΣ の通信カセットは COM3 カセット (FPG-COM3) もしくは COM4 カセット (FPG-COM4)

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 GR-KURUMI-SN( 仮 ) ( センサーネットワークシールド ) 生きてるってなんだろう 見えないものでつながってる がじぇっとるねさす 2013/11/23 Rev. 1.00 もくじ Sensor Network(SN) シールド概要 くるみが一人で測って 計算して データを飛ばす複数のくるみとさくらがつながる サンプルプログラムの使い方 つながる広がるエレクトリカルものづくり Smart

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code

// USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code // USB_CNC_Machine リモート PIO 端末プログラムのメイン関数およびユーザアプリ部 // 2017.03.16 編集作成 by Takehiko Inoue /*********** メイン関数 ***************************/ #pragma code void main(void) /* IO ピン初期設定 */ ANSEL = 0x00; // 0b10000000

More information

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で FLASH アクセス仕様書 S1V3S344 S1V3G340 Rev.1.00 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これら起因する第三者の権利

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

M4Gグループ(1)_CEC-A

M4Gグループ(1)_CEC-A アプリケーションノート CEC 制御回路 (CEC-A) 概要 このアプリケーションノートは を使用して CEC 制御回路 (CEC) 機能を用いる製品を開発する際 参考となる資料です 動作確認用またはプログラム開発の参考用にご利用願います 対象サンプルプログラム : CEC_CH_TO_CH 2018-08-29 1 / 18 2018 Toshiba Electronic Devices & Storage

More information

Rev.1.1 S1V50300 サンプルプログラムマニュアル

Rev.1.1 S1V50300 サンプルプログラムマニュアル Rev.1.1 S1V50300 サンプルプログラムマニュアル 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

< 動作マトリクス > 停止 REQ 固定位置 REQ 往復 REQ 停止 () 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止 ( 固定位置 ) 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止パルス中 ( 停止パルス終了後 ) ( 停止パルス終了後動作 )

< 動作マトリクス > 停止 REQ 固定位置 REQ 往復 REQ 停止 () 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止 ( 固定位置 ) 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止パルス中 ( 停止パルス終了後 ) ( 停止パルス終了後動作 ) ステッピングモータ (12 相励磁 ) 低速なステッピングモータ制御モジュールを紹介します. 用途としては, エアコンの風向制御をイメージしていただければよいと思います. ソフトウェア制御のため, ハードはドライバICのみでOKです. 1 < 仕様 > 指定位置への移動動作 ( 高速動作 ) 指定範囲内での往復動作( 低速動作 ) 動作開始時と動作停止時には一定時間の停止パルスを出力して,

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

RX ファミリ、M16C ファミリ アプリケーションノート M16CからRXへの置き換えガイド 調歩同期式シリアル通信(UART)編

RX ファミリ、M16C ファミリ アプリケーションノート M16CからRXへの置き換えガイド 調歩同期式シリアル通信(UART)編 アプリケーションノート 要旨 R01AN1859JJ0100 Rev.1.00 本アプリケーションノートでは M16C ファミリのシリアル I/O の UART モードから RX ファミリの SCI の調歩同期式モードへの置き換えについて説明しています 対象デバイス RX ファミリ M16C ファミリ M16C から RX への置き換え例として RX ファミリは RX210 グループを M16C ファミリは

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1)

作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 (1.1) 作成 承認 簡単取扱説明書 (S&DL 水位計 ) 応用計測サービス株式会社 2013.6(1.1) 本簡単取扱説明書は あくまで簡易な使用方法についての取扱説明書です ご使用に関 して機器取扱説明書を十分ご理解の上で正しくご使用くださるようお願いします 注意 本簡単取扱説明書は 簡易な使用方法についての取扱説明 書です 詳細については機器取扱説明書十分理解して使用 してください 1 本品仕様 P-1

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX63N グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX63N 1 / 53 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

<4D F736F F D D182F12D504C4390DA91B B D834F F F2E646F63>

<4D F736F F D D182F12D504C4390DA91B B D834F F F2E646F63> 電子天びんと PLC との接続について 1. はじめに 2016.09.12 電子天びんと PLC を接続し PLC への計測データを取り込みたい または PLC から電子天びんを制御したい 等の問い合わせが増えてきています その手助けになる様 RS-232C 通信による三菱電機製 PLC と電子天びんを接続する際の接続 設定 サンプルプログラムを本書にまとめました サンプルプログラムでは以下のような動作を行うことが出来ます

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

Microsoft PowerPoint - RX62N動画マニュアルDMAC.ppt [互換モード]

Microsoft PowerPoint - RX62N動画マニュアルDMAC.ppt [互換モード] RX62N 周辺機能紹介 DMAC Direct Memory Access Controller ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DMACの概要 転送モード 起動要因 その他の機能 プログラムサンプル 2 DMAC の概要 3 機能概要 項目 内容 最大転送数起動要因チャネル優先順位 1データ転送データブロックサイズノーマル

More information

Microsoft PowerPoint - kougi7.ppt

Microsoft PowerPoint - kougi7.ppt 到達目標 スーパバイザモード, 特権命令, 割り込み CPU の割り込みメカニズム 割り込みの種類ごとに, 所定の例外処理が呼び出される スーパーバイザモードに, 自動的に切り替わる 割り込み終了後に 元のモード に戻る ハードウエア割り込みについて 割り込み禁止 割り込み発生時の CPU の挙動 現在の処理を中断 例外処理用のプログラム ( ハンドラともいう ) が起動される プログラム実行の流れ

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

IrDA型赤外線タグ仕様

IrDA型赤外線タグ仕様 IrDA 型赤外線タグ仕様 Specification of IrDA infrared active tag 目次 (Table of Contents) はじめに...3 規定範囲...3 本書の位置付け...3 参照規定...3 用語定義...3 1. IrDA 型赤外線タグの物理層規定...4 1.1. 概要...4 1.2. バイトフォーマット...5 1.2.1. パルス幅許容値...5

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ

割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれ 第 2 回 本日の内容割り込みとは タイマー 割り込み 今までのプログラムは 順番にそって命令を実行していくのみ それはそれで良いが 不便な場合もある 例えば 時間のかかる周辺機器を使う場合 その周辺機器が動作を終了するまで CPU は待たなければいけない 方法 1( ポーリング ) 一定時間毎に 周辺機器の動作が終了したか調べる 終了していれば 次の動作に移るし そうでなければ また少し待ってから同じことを繰り返す

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー SLCONFIG の操作 2011.03.02 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストール 設定 Soliloc-10G Slconfig の開発 提供ならびに本書を作成するに当たり情報提供を頂いた

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

Time Server Pro. TS-2910 リリースノート お客様各位 2019/09/13 セイコーソリューションズ株式会社 Time Server Pro.(TS-2910/TS-2912) システムソフトウェアリリースノート Ver2.1.1 V2.2 変更点 Version 2.2 (

Time Server Pro. TS-2910 リリースノート お客様各位 2019/09/13 セイコーソリューションズ株式会社 Time Server Pro.(TS-2910/TS-2912) システムソフトウェアリリースノート Ver2.1.1 V2.2 変更点 Version 2.2 ( お客様各位 019/09/13 セイコーソリューションズ株式会社 Time Server Pro.(TS-910/TS-91) システムソフトウェアリリースノート Ver.1.1 V. 変更点 Version. (019/09/13) 1 PTP 機能の ITU-T G.875. プロファイルをサポートしました これに伴い 関連する CLI コマンドを追加 変更しました show ptp コマンドで表示される

More information

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */ /*=============================================================*/ /* インクルードファイル */ /*=============================================================*/ #include #include "../../common/iodefine.h"

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

6

6 Stellaris LM3S9B96 Microcontroller 15 章同期式シリアル インターフェイス (SSI) JAJU139 SPMS182D 翻訳版 (15 章 ) 最新の英語版 : http://www.ti.com/lit/gpn/lm3s9b96 この資料は Texas Instruments Incorporated(TI) が英文で記述した資料を 皆様のご理解の一助として頂くために日本テキサス

More information

CubeSuite+版RXシリアルデバッガ取扱説明書

CubeSuite+版RXシリアルデバッガ取扱説明書 CubeSuite+ 版 RX シリアルデバッガ取扱説明書 はじめに この取扱説明書は CubeSuite+ 版 RXシリアルデバッガ 用に作成されたものです RXシリアルデバッガはターゲット CPU の内蔵フラッシュメモリに書き込み シリアルポート (COM ポート ) 経由で CubeSuite+ からターゲット CPUのデバッグを行うデバッグ ツールです E1/E20エミュレータのような機器を必要としませんが

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

DUSx200 シリーズコントローラ I2C インターフェース仕様書

DUSx200 シリーズコントローラ I2C インターフェース仕様書 DUSx200 シリーズコントローラ I2C インターフェース仕様書 目次 1. 変更履歴... 2 2. 適用... 3 3. ホストインターフェース... 3 3.1. 通信タイミング... 3 3.2. 制御信号... 3 3.3. 通信仕様... 4 3.4. プロトコル仕様... 4 4. レポート形式... 5 4.1. タッチ座標データ... 5 4.2 水レポート... 5 5. メンテナンスコマンド...

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

< D A0>

< D A0> #include #include ".h" * マスターモード専用 I2C 関数 教育 ホビー用 * 営利目的 商用への利用は禁止 * 詳しいタイミングは NXP の資料参照のこと 関数の説明 * ストップ状態にします : クロックをHにしてデータをL->Hします _stop() SDA_LOW(); 初めはデータを L 確認 SCL_HIGH(); SCL を H にする //

More information

形B5Z 画像型人感センサ(HVC-F) コマンド仕様書

形B5Z 画像型人感センサ(HVC-F) コマンド仕様書 目次 1 はじめに... 2 1-1 B5Z-001001 とは...2 2 基本フロー... 3 2-1 通信フロー...3 2-2 ホスト装置サンプル処理フロー...4 2-3 TCP/IP コマンドと Modbus/TCP コマンド...6 3 コマンド仕様... 7 3-1 コマンドフォーマット...7 3-1-1 TCP/IP コマンド / レスポンスフォーマット... 7 3-1-2 Modbus/TCP

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード] 論理回路 第 回多状態順序回路の設計 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N4 内線 5459 takasii@info.kindai.ac.jp 不完全指定論理関数と完全指定論理関数 2 n 個の状態を持つ (n 個の FF を持つ ) 論理関数に対して 定義 3. ( 不完全指定論理関数 ) ある状態に対する状態遷移関数, 出力関数が定義されていない論理関数

More information

Microsoft Word - プログラムをRAM.doc

Microsoft Word - プログラムをRAM.doc プログラムを RAM 上でデバッグする場合の説明 対象 CPU 1)H8/300H H8S シリーズ H8SX シリーズ SH-2 シリーズが対象になります Rev1.50 DEF バージョン 6.30A 仕様より DEF バージョン 7.10A 仕様より 機能 1)BSC( バスステートコントローラ ) による拡張 RAM でのデバッグに対応しました 2)PBC/UBC 無しタイプの CPU 品種でもプログラムメモリが

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

USB モジュール

USB モジュール 概要 ピン配置図 本 USB モジュールは DIP24 ピンのスペースに USB の核となる FT232BM を採用し周辺にリセッタブルヒューズ 3.3V レギュレータ発振子 E2PROM リセット回路等配置 USBコネクターを接続するだけで USB RS232TTL レベル変換を行う事ができます モジュール主要特性 E2PROM に USB VID PID シリアル番号および製品記述可能 USB1.1,USB2.0

More information

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が STM32L_LS027B4DH01 の説明 V002 2014/03/30 STM32L-Discovery 用に作成した LCD LS027B4DH01 に ASCII 文字表示を行うプログラムです Free の開発ツール Atollic TrueSTUDIO for ARM Lite ( 試用版 ) で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です

More information

PIC24F Family Reference Manual Section 9 WDT

PIC24F Family Reference Manual Section 9 WDT 第 9 章 (WDT) ハイライト 本章では次のトピックについて説明します 9.1 はじめに... 9-2 9.2 WDT の動作... 9-2 9.3 レジスタマップ... 9-5 9.4 設計の秘訣... 9-6 9.5 関連するアプリケーションノート... 9-7 9.6 改版履歴... 9-8 9 2007 Microchip Technology Inc. Advance Information

More information

Microsoft PowerPoint - フェリカ通信仕様書_

Microsoft PowerPoint - フェリカ通信仕様書_ 1 / 25 2006/07/19 フェリカリーダ通信仕様書 Ver.00.12 松下電工株式会社 制御デバイス事業部 2 / 25 目次 1. 基本構成 3 2. 通信規則 4 3. パケットの構成 5 4. コマンド一覧 6 5. コマンドの詳細 ( ホスト R/Wユニット 7 #A... 動作状態確認 #B... リセット #C... 動作状態変更 #D... データ書き込み (1) #E...

More information

RL78/G13 制限事項について

RL78/G13 制限事項について 発行日 :2013 年 8 月 8 日 RENESAS TECHNICAL UPDATE 211-8668 神奈川県川崎市中原区下沼部 1753 ルネサスエレクトロニクス株式会社問合せ窓口 http://japan.renesas.com/contact/ E-mail: csc@renesas.com 製品分類 MPU & MCU 発行番号 TN-RL*-A009A/J ev 1 版 題名 RL78/G13

More information

TD-UARTip 設計仕様書

TD-UARTip 設計仕様書 TD-HDLCip 設計仕様書 Version.23 -- TD-HDLCip 変更履歴 Ver.2 インレビアム初版 Ver.22 26/8/3 6 頁 25 頁 26 頁 XTLAST の機能説明修正 CPU リード / ライトタイミングに注意事項追記 送信 DMA ライトタイミングに注意事項追記 誤字脱字 文字の統一 Ver.22-2/4/3 6 頁 修正 Ver.23 24/4/ 8-22

More information

Microsoft PowerPoint - OS04.pptx

Microsoft PowerPoint - OS04.pptx この資料は 情報工学レクチャーシリーズオペレーティングシステム松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました オペレーティングシステム #4 並行プロセス : 排他制御基礎 パワーポイント 2007 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ

More information

目次 1 本アプリケーションノートの目的 送信手順 基本的な送信方法 キャリアセンスなし送信 キャリアセンスあり送信 ACK 期待送信 フレームメモリへのデータの設定 INFO

目次 1 本アプリケーションノートの目的 送信手順 基本的な送信方法 キャリアセンスなし送信 キャリアセンスあり送信 ACK 期待送信 フレームメモリへのデータの設定 INFO フレーム送受信方法 パナソニック ( 株 ) AIS 社セミコンダクター事業部 1 AP-MN87400_401-002 目次 1 本アプリケーションノートの目的...3 2 送信手順...3 2.1 基本的な送信方法...3 2.1.1 キャリアセンスなし送信...3 2.1.2 キャリアセンスあり送信...4 2.1.3 ACK 期待送信...4 2.2 フレームメモリへのデータの設定...5

More information

1. SMDLR2 SYSMACCCVM1 CVCQM1 PLC NestBus PLC PLC 2. PLC CPU PLC DCS PLC PLC SMDL PLC1 1 SMDL DiDo 512 AiAo 32 PLC PLC SMDLR2 K V AC L170

1. SMDLR2 SYSMACCCVM1 CVCQM1 PLC NestBus PLC PLC 2. PLC CPU PLC DCS PLC PLC SMDL PLC1 1 SMDL DiDo 512 AiAo 32 PLC PLC SMDLR2 K V AC L170 取扱説明書 MUNIT シリーズ NestBus 用 オムロン (1:N プロトコル ) 用 PLC インタフェース 形式 SMDL R2 1. はじめに 2 2. 概要 2 3. 使用機器 2 3.1. PLC インタフェース 2 3.2. 設定装置 3 3.3. 上位リンク機能 / 上位リンクユニット 3 3.4. アナログ入力ユニット 3 3.5. アナログ出力ユニット 3 3.6. 電源の投入順序

More information

iCLR

iCLR RF24N1D-05-TK 無線モジュール簡易評価キット 取扱説明書 第 1.02 版 2014 年 05 月 01 日 株式会社 TOUA 1/10 目次 1. はじめに... 3 1.1. 無線モジュール簡易評価キットの概要... 3 1.2. 免責事項... 3 2. 無線モジュール簡易評価キットの構成... 3 3. 評価ボードの説明... 4 4. 事前準備... 5 5. 評価キットの実機動作...

More information

CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.p

CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.p CS-3000/5000 用 LabView ドライバ 取扱説明書 第 1 版 2014/7/28 岩通計測株式会社 1. 使用条件 a. 装置 : 岩通計測製 CS-3000/CS-5000 シリーズ b. 動作確認 PC/OS:IBM PC/AT 互換機 マイクロソフト Windows7 c.pc インタフェース :TCP/IP d.labview バージョン :LabView(Ver7.1)

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information