Microsoft PowerPoint - 7.Arithmetic.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - 7.Arithmetic.ppt"

Transcription

1 第 7 章デジタル演算回路 1

2 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2

3 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3

4 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に 1 を加える ( 例 ) 0101 の 2 の補数 = = の補数 各桁のビットを反転 ( 例 ) 0101 の 1 の補数 =

5 10 進 進 正の数字は最上位を 0 にする 負の数字は 2 の補数で表す 2 の補数表現

6 10 進 進 符号ビット + 振幅 ( 絶対値 ) 符号振幅表現 Sign-Magnitude 6

7 加算回路 dder 筆算の手順 進数 被加算数 加算数 C3 S3 C2 S2 C1 S1 C0 S0 和 SUM 桁上げ CRRY 7

8 半加算回路 Half dder (H) S C S C 8

9 全加算回路 Full dder : F S C i S C o Ci Co Ci 9

10 全加算回路の論理式 C i S C o 和 S = ( + ) C i + ( + ) C i = ( + + C i ) キャリ - C o = + (+) C i = C i ( + ) + ( + ) 10

11 全加算回路の論理図 + S + C i + C o EXOR の実現が重要 和 S = ( + ) C i + ( + ) C i = ( + + C i ) キャリ - C o = + (+) C i = C i ( + ) + ( + ) 11

12 マンチェスタ型全加算回路 EXOR EXOR C i + S + C i C o 和 S = ( + ) C i + ( + ) C i キャリ C o = ( + ) C i + ( + ) キャリーの伝搬が速いのが特徴 12

13 複合ゲートを用いた全加算回路 Vdd Vdd Ci Ci Ci X Vdd Ci Ci Ci Vdd S Co 13

14 n ビット加算器 1 ビットの全加算器を接続して作る n n 全加算器 F 全加算器 F 全加算器 F 全加算器 F Cn Sn C2 S2 C1 S1 C0 S0 この形をリップルキャリー型という. キャリーの伝搬が起こるので速度が遅い 14

15 2 進数の減算 被減数に減数の 2 の補数を加える 減算結果は符号付の数字最上位ビットは符号ビット ( ) ( 例 ) 下位から 4 ビット目を符号ビットとして 10 進数で 5-3 = 2 の演算を行う場合 = (0011 の 2 の補数 ) = = 0010 (+2) 3-5 = = = 1010 (-2) ( 符号ビットからの桁上がりは無視 ) 15

16 2 の補数減算器 符号ビット n-1 n-1 n-2 n C n-1 F F F F C n-2 C 1 C 0 C -1 "1" S n-1 S n-2 S 1 S 0 16

17 加減算器 符号ビット Yn-1 Xn-1 Yn-2 Xn-2 Y1 X1 Y0 X0 M モード制御 Cn-1 F F F F Cn-3 C1 C0 Cn-2 C-1 上位あふれ Sn-1 Sn-2 S1 S0 上位あふれが 1 となった場合演算結果は正しくない 上位あふれが 1 とならない場合でも正しくない結果が出る場合がある それはどの様な場合か M = 0 X + Y M = 1 X + Y の 2 の補数 = X + (- Y) = X - Y 17

18 固定小数点乗算器 X 被乗数 乗算器 Y 乗数 Z 乗算結果 X3 X2 X1 X0 被乗算数 Y3 Y2 Y1 Y0 乗数 P30 P20 P10 P00 P32 P31 P22 P21 P12 P11 P02 P01 部分積 P33 P23 P13 P03 C6 Z6 Z5 Z4 Z3 Z2 Z1 Z0 乗算結果 18

19 X Y C i-1 並列乗算器アレイ型 5x5ビット NDゲート n x n 個 被乗数 X4 X3 X2 X1 X0 P40 P30 P20 P10 P00 Y0 C i 全加算器 P41 P31 P21 P11 P01 Y1 S P42 P32 P22 P12 P02 Y2 乗数 P43 P33 P23 P13 P03 Y3 P44 P34 P24 P14 P04 Y4 C7 C X Y S C C X Y C S Z7 Z6 Z6 Z5 Z4 Z3 Z2 Z1 Z0 乗算結果 19

20 並列乗算器アレイ型 被乗数 キャリと和信号の伝搬パス P40 X4 X3 X2 X1 X0 Y0 P30 P20 P10 P00 P41 P31 P21 P11 P01 Y1 キャリの伝搬段数は最悪 11 段 P42 P32 P22 P12 P02 Y2 乗数 n ビットの場合は 3n ー 4 段 Y3 P43 P33 P23 P13 P03 P44 P34 P24 P14 P04 Y4 C7 C X Y S C C X Y C S Z7 Z6 Z6 Z5 Z4 Z3 Z2 Z1 Z0 乗算結果 20

21 キャリセーブアダー方式 被乗数 X3 X2 X1 X0 Y0 P30 P20 P10 P00 Y1 P21 P11 P01 P12 P02 Y2 乗数 Y3 P03 21

22 キャリセーブアダ (CS) 方式乗算器 5x5 ビット CLに入るまでのキャリと和信号の伝搬段数はそれぞれ最悪 n ー 2 段 P42 被乗数 X4 X3 X2 X1 X0 Y0 P40 P30 P20 P10 P00 P41 P31 P21 P11 P01 P32 P22 P12 P02 Y1 Y2 乗数 P43 P33 P23 P13 P03 Y3 P44 P34 P24 P14 P04 Y4 X C Y S C C8 CL 高速加算器 Z8 Z7 Z6 Z5 Z4 Z3 Z2 Z1 Z0 乗算結果 22

23 シフト加算による乗算回路 シフタ + 被乗数 = bit シフト x1 加算しない 2bitシフト x4 3bitシフト x8 加算しない 乗数 = 加算回路 乗算結果 累算器 ( アキミュレータ ) 演習問題 5 ビットのシフタの回路を書け 乗算結果 23

24 5 ビットのシフタの回路 シフタ シフト S1 S2 セレクタ 0 0 S3 S4 S5 24

25 X Y C i-1 並列乗算器アレイ型 5x5ビット NDゲート n x n 個 被乗数 X4 X3 X2 X1 X0 P40 P30 P20 P10 P00 Y0 C i 全加算器 P41 P31 P21 P11 P01 Y1 S P42 P32 P22 P12 P02 Y2 乗数 P43 P33 P23 P13 P03 Y3 P44 P34 P24 P14 P04 Y4 C7 C X Y S C C X Y C S Z7 Z6 Z6 Z5 Z4 Z3 Z2 Z1 Z0 乗算結果 25

26 キャリセーブアダ (CS) 方式乗算器 5x5 ビット CLに入るまでのキャリと和信号の伝搬段数はそれぞれ最悪 n ー 2 段 P42 被乗数 X4 X3 X2 X1 X0 Y0 P40 P30 P20 P10 P00 P41 P31 P21 P11 P01 P32 P22 P12 P02 Y1 Y2 乗数 P43 P33 P23 P13 P03 Y3 P44 P34 P24 P14 P04 Y4 X C Y S C C8 CL 高速加算器 Z8 Z7 Z6 Z5 Z4 Z3 Z2 Z1 Z0 乗算結果 26

27 宿題 2 12 月 11 日 5x5 ビットの並列乗算器キャリーセーブ型のゲート数を求めよ ただし,Fはマンチェスター型を用いよ CL 加算回路を用いないとアレイ型と同じ CL 加算回路はキャリーを演算する回路である. ゲート数が増加する. キャリーの論理式 C o = + (+) C i C0 = G0 + P0 C-1 C 1 = G 1 + P 1 C 0 = G 1 + G 0 P 1 + P 0 P 1 C -1 G= P= + C 2 = G 2 + P 2 C 1 = G 2 + G 1 P 2 + G 0 P 1 P 2 + P 0 P 1 P 2 C -1 C 3 = G 3 + P 3 C 2 = G 3 + G 2 P 3 + G 1 P 2 P 3 + G 0 P 1 P 2 P 3 + P 0 P 1 P 2 P 3 C -1 27

28 演習問題 2008 年 12 月 9 日 INV, NND,NOR を用いて全加算器の回路を書け. 複合ゲートを用いた全加算器の回路を書け. それぞれの素子数と論理段数をマンチェスタ型全加算器と比較せよ. 28

29 宿題 2008 年 12 月 9 日 LU を設計し, 論理回路図 ( デコーダを含む ) を書け. 語長 2の補数 8ビットとする入力 7~0, 7~0, C2~C0 出力 Z8~Z0 制御コード 動作 C2 C1 C ( 和演算 ) ( 差演算 ) OR ND XOR Left Shift ( 最上位は最下位へ ) 29

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 54 10 36 16 16 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって 54 10 36 16 ここまでの復習 2/10/16

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt ( 第 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など ( 論理回路 Ⅰ) の期末試験 実施日 : 8/5( 金 ) : @ 教室 ( 定規 OK, 参照ダメ ) 成績評価 : 中間 5%, 期末 5% ( 出席率 8% 以上の学生が評価対象

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

Microsoft PowerPoint - 3.2組み合わせ回路BL.pptx

Microsoft PowerPoint - 3.2組み合わせ回路BL.pptx 3.2 組み合わせ回路 マイクロプロセッサへの適用例 3.2.1 加減算器 2 加算器 (Ripple Carry Adder: RCA) FA の真理値表 A B Cin Cout S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 A+B+Cin 3 FA(Full Adder) 真理値表

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

MemoirsoftheFacultyofScience KochiUniversity(InformationScience) Vol.26(2005),No.2 冗長 2 進加算器と乗算器の性能評価 宮原克典横山真登國信茂郎 高知大学理学部数理情報科学科 Abstract 近年の集積回路の高集積

MemoirsoftheFacultyofScience KochiUniversity(InformationScience) Vol.26(2005),No.2 冗長 2 進加算器と乗算器の性能評価 宮原克典横山真登國信茂郎 高知大学理学部数理情報科学科 Abstract 近年の集積回路の高集積 MemoirsoftheFacultyofScience KochiUniversity(InformationScience) Vol.26(2005),No.2 宮原克典横山真登國信茂郎 高知大学理学部数理情報科学科 Abstract 近年の集積回路の高集積度による VLSI( 大規模集積回路 ) の出現により HDL( ハードウェア記述言語 ) を用いた機能設計からトップダウン設計が重要になってきている

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

Microsoft PowerPoint - qcomp.ppt [互換モード]

Microsoft PowerPoint - qcomp.ppt [互換モード] 量子計算基礎 東京工業大学 河内亮周 概要 計算って何? 数理科学的に 計算 を扱うには 量子力学を計算に使おう! 量子情報とは? 量子情報に対する演算 = 量子計算 一般的な量子回路の構成方法 計算って何? 計算とは? 計算 = 入力情報から出力情報への変換 入力 計算機構 ( デジタルコンピュータ,etc ) 出力 計算とは? 計算 = 入力情報から出力情報への変換 この関数はどれくらい計算が大変か??

More information

Microsoft Word - 0-オリエンテーション.doc

Microsoft Word - 0-オリエンテーション.doc 論理回路 (1 年前期金 3 限履修コード T513) 論理回路はコンピュータの算数 ( 数学ではない ) 身につけないと デジタルシステムを何ら理解することはできない 0. オリエンテーション授業中 質問はいつでも 大きな声ですること 0.1. 自己紹介川口博 (S430807 神戸市垂水区産 明石市育ち ) 明石高専電気工学科 修士 ( 千葉大学電子工学専攻 ) コナミ アーケードゲーム H/W

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 4 回目演算子 今日の講義で学ぶ内容 演算子とオペランド 式 様々な演算子 代表的な演算子の使用例 演算子とオペランド 演算子 演算の種類です例えば + - * / 掛け算の記号は ではなく *( アスタリスク ) を使います割り算の記号は ではなく /( スラッシュ ) を使います オペランド 演算の対象です例えば 5( 値 ) num( 変数 ) 式 演算子とオペランドの組み合わせにより構成される数式です式は演算結果をもちます

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 6 週演算アーキテクチャ ( 続き ) ノイマン型コンピュータ 命令とは 命令の使い方 2013 年 10 月 30 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2

More information

Microsoft Word - 論理回路10.doc

Microsoft Word - 論理回路10.doc 2. 論理設計 () 組合せ回路. 概説 表 SCII コードの例 アナログ装置では量や数を 電圧の大きさ や 針の振れる角度 などで表現している それに対して, 進 2 進 6 進 英数文字 コンピュータなどのディジタル装置では量や数を2 35 23 # 進数で符号化し, 表現している 我々人間は数を符 号化するために 2 9 という 通りの文字を用いているが, ディジタル装置の中では 47 48

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits11note.ppt [互換モード] 論理回路 第 回多状態順序回路の設計 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N4 内線 5459 takasii@info.kindai.ac.jp 不完全指定論理関数と完全指定論理関数 2 n 個の状態を持つ (n 個の FF を持つ ) 論理関数に対して 定義 3. ( 不完全指定論理関数 ) ある状態に対する状態遷移関数, 出力関数が定義されていない論理関数

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 5 回目演算子の優先順位と変数の型変換 今日の講義で学ぶ内容 演算子の優先順位 優先順位の変更の方法 キャスト演算子と型変換 演算子の優先順位 演算子の優先順位 式を計算するときの演算の順序です例えば a=b*c+d; では乗算を先に計算するというルールです ( 主な演算子の優先順位 ) 演算子 名前 結合規則 ++ 後置インクリメント 左 -- 後置デクリメント 左!

More information

Microsoft PowerPoint - ch1.ppt

Microsoft PowerPoint - ch1.ppt 論理回路 ( 基礎 ) 法政大学 情報科学部 大森健児 参考書 論理演算 () AND,OR,NOT,XOR AND OR NOT XOR 論理演算 (2) NAND,NOR NAND NOR 前提 結論 If A then B は A が真のとき B が真であるならば この文は真であり A が偽のときは B が真であろうとなかろうとこの文は真である A が真のとき B が偽であればこの文は偽である

More information

一般電気工学第二

一般電気工学第二 1 電子情報工学基礎 第 1 回 コンピュータの歴史と アーキテクチャの基礎 2 コンピュータとは Compute: 計算する Computer: 電子計算機 ( 元々は計算をする人を指す ) 紀元前 3000 年頃古代バビロニアで数字が誕生, 十進法が定められる. 3 計算する機械 算盤,Abacus 1617 年にネピアによる対数の理論, 計算尺の原型の発明 歯車式のパスカリーヌ計算機 ライプニッツの横型ドラム方式の回転計算機

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

2 ver.10.7 論理回路 ( 原理と設計 ) 3 1 3. 組み合わせ論理回路の簡単化 同じ論理関数でも 回路の段数の深さ 使う論理素子の総数など 基準の違いによって複雑さが異なる ( 回路の設計コストに影響する ) 論理関数を簡単化する方法はいろいろ知られているが 数変数程度の論理関数を簡単化するときに有効な方法としてカルノー図が知られている ( 実際の論理回路はもっと多変数であるから 実用的な方法のわけではない

More information

テレビ学習メモ 数学 Ⅰ 第 40 回 第 5 章データの分析 相関係数 監修 執筆 湯浅弘一 今回学ぶこと データの分析の最終回 今までの代表値を複合し ながら 2 種類のデータの関係を数値化します 相関係数は 相関がどの程度強いのかを表しています 学習のポイント 12 種類のデータの相関関係を

テレビ学習メモ 数学 Ⅰ 第 40 回 第 5 章データの分析 相関係数 監修 執筆 湯浅弘一 今回学ぶこと データの分析の最終回 今までの代表値を複合し ながら 2 種類のデータの関係を数値化します 相関係数は 相関がどの程度強いのかを表しています 学習のポイント 12 種類のデータの相関関係を テレビ学習メモ 第 40 回 第 5 章データの分析 監修 執筆 湯浅弘一 今回学ぶこと データの分析の最終回 今までの代表値を複合し ながら 2 種類のデータの関係を数値化します は 相関がどの程度強いのかを表しています 学習のポイント 12 種類のデータのを 1 つの数値で表す 2共分散と 3実際のデータからを求める ポイント 1 2 種類のデータのを 1 つの数値で表す 2 種類のデータの散らばりは散布図で見ることができました

More information

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP

3-2 Arria 10 デバイスでサポートされる動作モード A10-DSP Arria 10 デバイスでサポートされる動作モード 表 3-1: Arria 10 デバイスにおける可変精度 DSP ブロックの動作モード 可変精度 DSP ブロックのリソース 1 つの可変精度 DSP 3 2014.08. A10-DSP 署名 この章では 高性能デジタル信号処理 (DSP) アプリケーションでより高いビット精度をサポートするにあたって Arria 10 デバイスの可変精度 DSP ブロックがどのように最適化されるかについて説明します 2014. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE,

More information

Microsoft Word - no02.doc

Microsoft Word - no02.doc 使い方 1ソースプログラムの入力今回の講義では C++ 言語用の統合環境ソフトといわれるプログラムを利用します デスクトップにある CPad for C++ のアイコン ( 右参照 ) をダブルクリ ックしましょう ( 同じアイコンで Java_pad とかい エディタ部 てあるものもありますので気をつけてください ) これで 起 動します 統合環境を立ち上げると エディタ部とメッセージ部をもった画面が出てきます

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

C プログラミング 1( 再 ) 第 4 回 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 1

C プログラミング 1( 再 ) 第 4 回 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 1 C プログラミング 1( 再 ) 第 4 回 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 1 前回の復習 関数を作る : 何を引数として どういう計算をし 何を返すか 関数についての注意 : * main 関数で使われている変数と同じ名前の変数があっても それらには何ら関係はない * 関数名と同じ変数は その関数内では使わないようにする ( 紛らわしさを少なくするため

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

06佐々木雅哉_4C.indd

06佐々木雅哉_4C.indd 3 2 3 2 4 5 56 57 3 2013 9 2012 16 19 62.2 17 2013 7 170 77 170 131 58 9 10 59 3 2 10 15 F 12 12 48 60 1 3 1 4 7 61 3 7 1 62 T C C T C C1 2 3 T C 1 C 1 T C C C T T C T C C 63 3 T 4 T C C T C C CN T C C

More information

【知事入れ版】270804_鳥取県人口ビジョン素案

【知事入れ版】270804_鳥取県人口ビジョン素案 7 6 5 4 3 2 1 65 1564 14 192 193 194 195 196 197 198 199 2 21 22 23 24 1.65 1,4 1.6 1,2 1.55 1, 1.45 6 1.5 8 1.4 4 1.35 1.3 2 27 28 29 21 211 212 213 214 6 5 4 3 2 1 213 218 223 228 233 238 243 248 253

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 11 週 条件分岐文と繰り返し文のコード生成 2014 年 6 月 18 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

Taro-ビット処理(公開版).jtd

Taro-ビット処理(公開版).jtd 0. 目次 1. ビット演算 1. 1 論理積 論理和 排他的論理和 1. 2 左シフト 右シフト 2. ビット列操作 2. 1 char 型変数の表示 2. 2 int 型変数の表示 2. 3 int 型変数のビット数 2. 4 ビット単位の設定 3. 課題 3. 1 文字の詰め込みと取り出し 3. 2 ビット反転 3. 3 巡回シフト - 1 - 1. ビット演算 つぎのビット演算を使って ビット単位の処理ができる

More information

<4D F736F F F696E74202D A D836A834E83588EF393AE E B8CDD8AB B83685D>

<4D F736F F F696E74202D A D836A834E83588EF393AE E B8CDD8AB B83685D> 電子回路の基礎 抵抗器 コンデンサ コイル ダイオード トランジスタ 論理回路 抵抗器 ( その ) カーボン抵抗 ( 炭素皮膜抵抗 ) /8[W] /4[W] /[W] 金属被膜抵抗 ([%]) /4[W] [W] 0[W] セメント抵抗 7[W] 3[W] 集合抵抗 抵抗器 ( その ) ホーロー抵抗 (0W) 可変抵抗 チップ抵抗 抵抗の種類 固定抵抗器 可変抵抗器 炭素皮膜抵抗 炭素体抵抗

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

目次 1. はじめに 1 2. マルチALUプロセッサ MAP MAP の構成 MAP 命令セットアーキテクチャ 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 次 Booth アルゴリズム 次 Bo

目次 1. はじめに 1 2. マルチALUプロセッサ MAP MAP の構成 MAP 命令セットアーキテクチャ 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 次 Booth アルゴリズム 次 Bo 目次 1. はじめに 1 2. マルチALUプロセッサ MAP 2 2.1 MAP の構成 2 2.2 MAP 命令セットアーキテクチャ 3 2.3 並列 連鎖判定のアルゴリズムについて 5 3. Booth 乗算のアルゴリズム 7 3.1 1 次 Booth アルゴリズム 7 3.2 2 次 Booth アルゴリズム 8 3.3 3 次 Booth アルゴリズム 10 4. シミュレーションによる並列化の評価

More information

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018

Microsoft PowerPoint - 工学ゼミⅢLED1回_2018 工学ゼミ Ⅲ 安全 環境活動に役立つ LEDイルミネーションの製作 第 1 回 1. 概要 3~5 名の学生グループで安全 環境活動に役立つ LED イルミネーションを作製する 作品のデザイン画や部品リスト 回路図 動作フロー図等は事前に作成し 計画的に作業を行うことが求められる 2. 達成すべき目標 作品に係る資料を事前にまとめ それに基づいて製作が行える 集団の中で 自身の知識 技術を積極的に応用しながら

More information

スライド 1

スライド 1 3. 演算命令を学ぼう 本稿の Web ページ http://www.mybook-pub-site.sakura.ne.jp/pic/index.html 1 ; ADD このソースファイルを各自打ち込んで下さい. EQU 0x0C ; at 0C 足し算を実行するプログラムの例です. MOVLW B 00000001 ; Load 0x01 to W ADDLW B'00000011' ; W

More information

スライド 1

スライド 1 4. 演算命令 ( つづき ) ( 足し算の桁上がり,Rotate, etc.) を学ぼう 本稿の Web ページ http://www.cmplx.cse.nagoya-u.ac.jp/~furuhashi/education/pic/index.html 1 本章では足し算の桁上がり情報の格納場所の確認をするプログラムを学びます. PIC16F マイコンではデータは 8 ビットで表されています.

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information

Microsoft PowerPoint - chapter6_2012.ppt [互換モード]

Microsoft PowerPoint - chapter6_2012.ppt [互換モード] 章誤り制御 電子情報工学科 年前期ネットワークアーキテクチャ情報科学センター / ネットワークデザイン研究センター福田豊 Agenda 学ぶ主な内容は以下の つ 誤りを検出する方法 誤り検出後, 訂正する方法 誤り検出方法 パリティ,CRC 誤り制御 ARQ,FEC ARQ 方式の紹介とその性能評価 誤り訂正 Layer と Layer における誤り制御 1 情報. 1 はじめに (1) 伝送路における電気的な雑音等により内容が変化

More information

参考1 第2回自動車ワーキンググループ議事録(未定稿)

参考1		第2回自動車ワーキンググループ議事録(未定稿) 19 9 25 15 30 17 30 10 1012 2 WG 9 10 1 WG 1 CO2 CO2 CO2 WG 1 CO2 CO2 CO2 1/2 1/2 CO2 2 2 CO2 2 WG CO2 1 2 3 7 3 3 3 WG CO CO 10 1.5 50 50 2 1 1 CO 2 CO 4 CO CO 2 2 p.4 7 7 1 7 1 1 5 p.7 2 1 1 1.5 2 1

More information

Microsoft Word - .....J.^...O.|Word.i10...j.doc

Microsoft Word - .....J.^...O.|Word.i10...j.doc P 1. 2. R H C H, etc. R' n R' R C R'' R R H R R' R C C R R C R' R C R' R C C R 1-1 1-2 3. 1-3 1-4 4. 5. 1-5 5. 1-6 6. 10 1-7 7. 1-8 8. 2-1 2-2 2-3 9. 2-4 2-5 2-6 2-7 10. 2-8 10. 2-9 10. 2-10 10. 11. C

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

i コロナ社 AND OR NOT SRAM IC

i コロナ社 AND OR NOT SRAM IC i ANDORNOT SRAM IC ii SRAM PIC 1 1. 1 ディジタルコード 1 1. 2 自然数と正の有理数の 2 進コード 3 1.2.1 アラビア数字による自然数の各種コード 3 1.2.2 正の有理数の 2 進コード 5 1.2.3 自然数の各種コードの基数変換 6 1. 3 ビット 8 1. 4 エンコーダデコーダ 13 2 2. 1 文字 数字 その他の記号のディジタルコード

More information

Microsoft PowerPoint - CproNt05.ppt [互換モード]

Microsoft PowerPoint - CproNt05.ppt [互換モード] 第 5 章 + 第 14 章演算子 CPro:05-01 第 5 章演算子 I 概要 Cには約 40 種類の演算子がある 算術演算子: ( 基本的なもの ) -( 単項 ) * / + - % ++ -- 優先順位( 評価順序 ) の規則 カッコにより優先順位を変えることができる 算術演算子は代入演算子とあわせて複合演算子となる 算術計算では, 型が異なる場合, 暗黙のキャストが行われる ( 最大化

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D>

<4D F736F F F696E74202D FEE95F18F88979D8B5A8F702E B93C782DD8EE682E890EA97705D205B8CDD8AB B83685D> 第 4 回情報処理技術講義 コンピュータ計算の基本概念 ( 論理ハードウェア ) 60 これはなんだと思いますか? 携帯電話の開発ボードだそうです 61 ソフト開発をする人でも, ハードウェア知識は必要不可欠である コンピュータの最も基本的要素は論理電子回路であるその中でも以下の3 素子が基本となる (AN, ORは組合して作れる ) NOT NAN NOR 注意 :MOS トランジスタによる実現

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

Microsoft Word - 論理回路テキスト2018.docx

Microsoft Word - 論理回路テキスト2018.docx 電気電子工学実験 2 実験指導書 論理回路 差替 論理回路 1. 実験の目的 本実験では, ディジタル回路設計の基礎として組み合わせ回路と順序回路を学ぶ. 組み合わせ回路の実験 家庭にある炊飯器等の電化製品はどれも電気で動作している. 最近の電化製品は単純なものは少なく, マイコン炊飯器, センサー付きエアコン 等, どれもが複雑な機能を持つ. このような複雑な機能を実現するためにディジタル回路は欠かせない.

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Microsoft PowerPoint - ProcML-12-3.ppt

Microsoft PowerPoint - ProcML-12-3.ppt プロセッサと 年次前次前期 ( 第 回 ) 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow か? () + + () + + 答 答 中島克人 情報メディア学科 nakajima@im.dendai.ac.jp () - = + + 答 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow

More information

前期募集 令和 2 年度山梨大学大学院医工農学総合教育部修士課程工学専攻 入学試験問題 No.1/2 コース等 メカトロニクス工学コース 試験科目 数学 問 1 図 1 は, 原点 O の直交座標系 x,y,z に関して, 線分 OA,OB,OC を 3 辺にもつ平行六面体を示す. ここで, 点 A

前期募集 令和 2 年度山梨大学大学院医工農学総合教育部修士課程工学専攻 入学試験問題 No.1/2 コース等 メカトロニクス工学コース 試験科目 数学 問 1 図 1 は, 原点 O の直交座標系 x,y,z に関して, 線分 OA,OB,OC を 3 辺にもつ平行六面体を示す. ここで, 点 A No.1/2 数学 問 1 図 1 は, 原点 O の直交座標系 x,y,z に関して, 線分 OA,OB,OC を 3 辺にもつ平行六面体を示す. ここで, 点 A,B,C の座標はそれぞれ A (,6,-2), B (4,-5,3),C (-5.1,4.9,.9) である. 次の問いに答えよ. (1) を求めよ. (2) および の向きを解答用紙の図 1 に描け. (3) 図 1 の平行六面体の体積

More information

Microsoft Word - マイコン実験 doc

Microsoft Word - マイコン実験 doc Ⅲ-2. マイクロコンピュータの実験 - 1 1. 目的 (1) 基本的なマイクロコンピュ-タの構成を学ぶとともに 各部の機能を理解する (2) マイクロコンピュ-タの機械語プログラムの理解を深める 2. マイクロコンピュ-タの動作図 1は 8ビットマイクロプロセッサ Z80 を用いたマイクロコンピュ-タシステムのブロック図である 以下に このマイクロコンピュ-タを例にとり 各部の動作を説明する 記憶部

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

Product News (IAB)

Product News (IAB) プロダクトニュース生産終了予定商品のお知らせ発行日 2016 年 3 月 1 日 カウンタ 電子カウンタ / タイマ形 H8GN シリーズ生産終了のお知らせ No. 2016028C 生産終了予定商品 電子カウンタ / タイマ形 H8GN シリーズ 推奨代替商品 電子カウンタ形 H7CX シリーズ トータルカウンタ シリーズ シリーズ デジタルタイマ形 H5CX シリーズ 生産終了予定時期 2017

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2018/10/05 竹島研究室創成課題 第 2 回 C 言語演習 変数と演算 東京工科大学 加納徹 前回の復習 Hello, world! と表示するプログラム 1 #include 2 3 int main(void) { 4 printf("hello, world! n"); 5 return 0; 6 } 2 プログラム実行の流れ 1. 作業ディレクトリへの移動 $ cd

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

PFC回路とAC-DC変換回路の研究

PFC回路とAC-DC変換回路の研究 第 2 回電気学会東京支部栃木 群馬支所合同研究発表会 2012/2/29 EG1112 PFC 回路と ACDC 変換器 村上和貴小堀康功邢林高虹 小野澤昌徳小林春夫高井伸和新津葵一 ( 群馬大学 ) Outline 研究背景と目的 PFCについて 従来 PFC 付 ACDC 変換器 新提案 PFC 付 ACDC 変換器 シミュレーションによる検討 まとめ Outline 研究背景と目的 PFCについて

More information

Microsoft PowerPoint - アナログ電子回路3回目.pptx

Microsoft PowerPoint - アナログ電子回路3回目.pptx アナログ電 回路 3-1 電気回路で考える素 ( 能動素 ) 抵抗 コイル コンデンサ v v v 3-2 理 学部 材料機能 学科岩 素顕 iwaya@meijo-u.ac.jp トランジスタ トランジスタとは? トランジスタの基本的な動作は? バイポーラトランジスタ JFET MOFET ( エンハンスメント型 デプレッション型 ) i R i L i C v Ri di v L dt i C

More information

目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1

目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1 ET2015 ミニセミナー Arduino で実演 今すぐ始める簡単派生開発 株式会社富士通コンピュータテクノロジーズ伊澤松太朗 1270karch01 目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1 当社のご紹介 2 会社概要 株式会社富士通コンピュータテクノロジーズ

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

c a a ca c c% c11 c12

c a a ca c c% c11 c12 c a a ca c c% c11 c12 % s & % c13 c14 cc c16 c15 %s & % c211 c21% c212 c21% c213 c21% c214 c21% c215 c21% c216 c21% c23 & % c24 c25 c311 c311 % c% c % c312 %% a c31 c315 c32 c33 c34 % c35 c36 c411 c N

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

Microsoft Word - FE練習問題- doc

Microsoft Word - FE練習問題- doc 基本情報技術者試験の練習問題 - 第 4 回 この問題は平成 22 年度秋期の問題から抜粋しています テクノロジ系 送信側では, ビット列をある生成多項式で割った余りをそのビット列に付加して送信し, 受信側では, 受信したビット列が同じ生成多項式で割り切れるか否かで誤りの発生を判断する誤り検査方式はどれか ア CRC 方式イ垂直パリティチェック方式ウ水平パリティチェック方式エハミング符号方式 平均命令実行時間が

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 10 週 Java 仮想マシンとその機械語 2014 年 6 月 11 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週 (6/11)

More information

技術協会STD紹介

技術協会STD紹介 JCTEA STD-018-1.0 FTTH 型ケーブルテレビシステム 光ネットワークの性能 ( 2007 年 10 月策定 ) 第 1 章 一般事項 1 第 2 章 システムの構成 4 2.1 FTTH 型ケーブルテレビシステムの構成例 4 2.2 FTTH 型ケーブルテレビシステムの構成要素 5 第 3 章 伝送信号の種類 6 第 4 章 電気信号に係る性能規定 7 4.1 ヘッドエンドの入力端子における入力信号

More information

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63>

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63> C 言語講座第 2 回 作成 : ハルト 前回の復習基本的に main () の中カッコの中にプログラムを書く また 変数 ( int, float ) はC 言語では main() の中カッコの先頭で宣言する 1 画面へ出力 printf() 2 キーボードから入力 scanf() printf / scanf で整数を表示 / 入力 %d 小数を表示 / 入力 %f 3 整数を扱う int 型を使う

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 講座を行う前に 自己紹介 僕と上回生について 1 年生同士で少しお話しよう! オリエンテーションの宿題 アルゴロジック http://home.jeita.or.jp/is/highschool/algo/index3.html どこまでできましたか? あまりできなかった人はこれから全部クリアしよう! 2016 年度 C 言語講座 第一回目 2016/6/11 fumi 今回の目標 プログラムを書いて実行するやり方を覚える

More information

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します 7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します http://groups.yahoo.com/neo/groups/ltspice/files/%20lib/digital%2074hcxxx (( 注意

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

ディジタル回路 第1回 ガイダンス、CMOSの基本回路

ディジタル回路 第1回 ガイダンス、CMOSの基本回路 前回簡単に紹介した CMOS は nmos と pmos を相補的に接続した回路構成です 相補的とは pmos,nmos をペアにして入力を共有し pmos が直列接続のときは nmos は並列接続に pmos が並列接続のときは nmos は直列接続にする方法です 現在使われているディジタル回路の 8-9 割は CMOS です CMOS は 1980 年代から急速に発達し 毎年チップ内に格納する素子数が

More information