VHDL

Size: px
Start display at page:

Download "VHDL"

Transcription

1 VHDL

2 IC IC T T L C M O S i

3 VHDL VHDL VHDL VHDL VHDL , ii

4 1 IC VHDL 2 3 IC VHDL 5 1

5 2 2.1 (sequential circuit) input sequence internal state state external input external output n z1 z2 zm у1 у2 уk 3 2

6 CK A B CK 3

7

8 (flip-flop) NAND (feedback loop) 2 SR-FF D-FF JK-FF T-FF SR-FF S R S=1 R=0 ( ) Q(t+1)=1 S=0,R=1 (Q(t+1)=0) S=0 R=0 (Q(t+1)=Q(t)) S=1,R=1 Q(t+1)=S+ R Q(t) S R

9 = S =1 ( +1)=1 =0 ( +1)= ( ) (delay) Q(t+1)=D CMOS NOT D-FF D-FF 2.6 6

10 2.6 D-FF JK-FF SR-FF J=K=1 Q(t+1)= Q(t) Q(t+1)=J Q + K Q(t) JK 2.7 7

11 2.7 JK-FF 2.8 JK 2.8(a) CK, JK CK 8

12 2.8(b) CK, JK 1 CK 1, (a) (b) 2.8 JK T-FF JK-FF J=K T=1 (Q(t+1)=Q(t)) T=0 (Q(t+1)=Q(t)) Q(t+1)=TQ(t) +T Q(t) T-FF 2.9 9

13 2.9 T-FF FF FF ( )Q FF FF FF FF (excitation function) D-FF,FF

14 2.10, , W ( ) (latch) (register) ( ) (read) (write)/ ( ) 11

15 n n 2 ( ) n 2.4 (counter) ( ) 2 12

16 i xk qi yl 2.5.1(a) xk yl 2.12(b) qi qi (a) (b)

17 q1 q4 2 00,01,10,

18 (decode) (2 ) ( ) (decoder) 2.14 n 2 n*m *4 15

19 2.6.2 (encode) ( ) (encoder) 1 1 ( ) m*n D1 D2 D0=D3=0 Q=11 D3 (priority) D0 D3 D1=D2=1 Q=10 D *2 16

20 IC TTL transistor transistor logic IC TTL IC TTL IC CMOS IC TTL IC ECL emitter coupled logic ECL TTL IC unipolar transistor MOS IC TTL TTL MOS IC MOS IC CMOS CMOS P MOSFET N MOSFET CMOS 2 17

21 2 18

22 3.1 IC 19

23 20

24 2 IC 3.4 4,194,304Hz Hz Hz 4,194,304Hz / 2 Hz IC SN74LS292 D FF

25 LED light emitting diode

26 L 3.3 LED 3.3 LED a LED 3.4(a) 3.4(b) 23

27 3.4 (a) (b) 24

28 3.8 IC 3.5 RS FF S=0 R=1 Q=1, ( ) Q=1, a R S a S= R=0 Q=0 3.5 NAND 25

29 HC246 Hz IC IC 26

30

31 28

32 29

33 1 30

34 2 31

35 3 32

36

37 VHDL. VHDL VHDL Very High Speed Integrated Circuit VHSIC Hardware Description Language HDL 1 3 (1)Behavior ASIC FPGA (2)RTL Register Transfer Level ASIC FPGA (3) ASIC RTL 4. VHDL VHDL VHSIC Very High Speed Integrated Circuit 1981 IC ASIC 3 4 ASIC HDL ASIC 34

38 1983 VHDL ASIC VHDL 1986 IEEE VASG VHDL Analysis Standardization Group LRM Language Reference Manual 12 IEEE Std IEEE VHDL HDL 1989 VHDL VHDL EDA HDL HDL ASIC HDL ASIC FPGA Filed Programmable Gate Array PLD Programmable Logic Device 4.1 HDL HDL VHDL VHSIC HDL Verilog HDL UDL/I Unified Design Language for Integrate Circuit SFL Structured Function description Language HDL 35

39 4.2 HDL 36

40 4.4 VHDL VHDL others XXXXXXX 7 VHDL VHDL library ieee; use ieee.std_logic_1164.all; entity DECODER4TO7 is port ( A,B,C,D: in std_logic; Y: out std_logic_vector(6 downto 0) ); end DECODER4TO7; architecture RTL of DECODER4TO7 is signal INDATA : std_logic_vector(3 downto 0); INDATA <= D&C&B&A; process(indata) case INDATA is 37

41 when "0000" => Y <= " "; --0 when "0001" => Y <= " "; --1 when "0010" => Y <= " "; --2 when "0011" => Y <= " "; --3 when "0100" => Y <= " "; --4 when "0101" => Y <= " "; --5 when "0110" => Y <= " "; --6 when "0111" => Y <= " "; --7 when "1000" => Y <= " "; --8 when "1001" => Y <= " "; --9 when others => Y <= "XXXXXXX"; --X end case; end process; end RTL; library ieee; use ieee.std_logic_1164.all; use std.textio.all; use work.decoder4to7; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component DECODER4TO7 is port ( A,B,C,D: in std_logic; Y: out std_logic ); end component; 38

42 signal A,B,C,D: std_logic; signal Y: std_logic_vector(6 downto 0); DUT: DECODER4TO7 port map ( A,B,C,D, Y ); STIMULUS1: process A <= '0'; wait for 20ns; A <= '1'; wait for 20ns; end process STIMULUS1; STIMULUS2: process B <= '0'; wait for 40ns; B <= '1'; wait for 40ns; B <= '0'; wait for 40ns; B <= '1'; wait for 40ns; B <= '0'; wait for 40ns; end process STIMULUS2; STIMULUS3: process C <= '0'; wait for 80ns; C <= '1'; wait for 80ns; C <= '0'; wait for 40ns; end process STIMULUS3; STIMULUS4: process D <= '0'; wait for 160ns; D <= '1'; wait for 40ns; end process STIMULUS4; 39

43 end stimulus; , MIN SEC BCD BCD10 BCD BCD WR BCD10WR BCD WR DATAIN. 6ns BCD WR 1 DATAIN BCD DATAIN BCD 0 BCD 0WR 1 DATAIN BCD 0 CIN BCD BCD 0 CO CIN 59 CIN 1 1 VHDL 4.2 VHDL SEC VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 40

44 entity SEC is port ( ); end SEC; CLK,BCD1WR,BCD10WR,CIN: in std_logic; CO: out std_logic; DATAIN : in std_logic_vector(3 downto 0); sec1 : out std_logic_vector(3 downto 0); sec10 : out std_logic_vector(2 downto 0) architecture RTL of SEC is signal sec1n : std_logic_vector(3 downto 0); signal sec10n : std_logic_vector(2 downto 0); sec1 <= sec1n; sec10 <= sec10n; process(clk,bcd1wr) if(bcd1wr='1') then -- sec1n <= DATAIN; elsif(clk'event and CLK='1') then if(cin='1') then if(sec1n=9) then sec1n <= "0000"; else sec1n <= sec1n + 1; end if; end if; end if; end process; process(clk,bcd10wr) if(bcd10wr='1') then -- sec10n <= DATAIN(2 downto 0); elsif(clk'event and CLK='1') then if(cin='1' and sec1n=9 ) then if(sec10n=5) then 41

45 sec10n <= "000"; else sec10n <= sec10n + 1; end if; end if; end if; end process; process(sec10n,sec1n,cin) if( CIN='1' and sec1n=9 and sec10n=5 ) then CO <= '1'; else CO <= '0'; end if; end process; end RTL; SEC library ieee,std; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component SEC is port ( CLK,BCD1WR,BCD10WR,CIN : in std_logic; CO : out std_logic; DATAIN : in std_logic_vector(3 downto 0); 42

46 ); sec1 : out std_logic_vector(3 downto 0); sec10 : out std_logic_vector(2 downto 0) end component; signal CLK,BCD1WR,BCD10WR,CIN : std_logic; signal CO : std_logic; signal DATAIN : std_logic_vector(3 downto 0); signal sec1 : std_logic_vector(3 downto 0); signal sec10 : std_logic_vector(2 downto 0); DUT: SEC port map ( CLK,BCD1WR,BCD10WR,CIN, CO, DATAIN, sec1, sec10 ); process CLK <= '1'; wait for 5ns; CLK <= '0'; wait for 5ns; end process; BCD1WR <= '0','1' after 6 ns, '0' after 7 ns; BCD10WR<= '0','1' after 18 ns, '0' after 19 ns; DATAIN <= "0110", "0101" after 13 ns, "XXXX" after 25 ns; CIN <= '0', '1' after 30 ns; 43

47 end stimulus;. SEC MIN VHDL Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity MIN is port ( CLK,MIN1WR,MIN10WR,CIN : in std_logic; CO : out std_logic; DATAIN : in std_logic_vector(3 downto 0); MIN1 : out std_logic_vector(3 downto 0); MIN10 : out std_logic_vector(2 downto 0) ); end MIN; architecture RTL of MIN is signal MIN1N : std_logic_vector(3 downto 0); signal MIN10N : std_logic_vector(2 downto 0); MIN1 <= MIN1N; MIN10 <= MIN10N; process(clk,min1wr) if(min1wr='1') then MIN1N <= DATAIN; elsif(clk'event and CLK='0') then if(cin='1') then 44

48 if(min1n=9) then MIN1N <= "0000"; else MIN1N <= MIN1N + 1; end if; end if; end if; end process; process(clk,min10wr) if(min10wr='1') then MIN10N <= DATAIN(2 downto 0); elsif(clk'event and CLK='0') then if(cin='1' and MIN1N=9 ) then if(min10n=5) then MIN10N <= "000"; else MIN10N <= MIN10N + 1; end if; end if; end if; end process; process(min10n,min1n,cin) if( CIN='1' and MIN1N=9 and MIN10N=5 ) then else CO <= '1'; CO <= '0'; end if; end process; end RTL; MIN library ieee,std; use ieee.std_logic_1164.all; 45

49 use ieee.std_logic_unsigned.all; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component MIN is port ( CLK,MIN1WR,MIN10WR,CIN : in std_logic; CO : out std_logic; DATAIN : in std_logic_vector(3 downto 0); MIN1 : out std_logic_vector(3 downto 0); MIN10 : out std_logic_vector(2 downto 0) ); end component; signal CLK,MIN1WR,MIN10WR,CIN : std_logic; signal CO : std_logic; signal DATAIN : std_logic_vector(3 downto 0); signal MIN1 : std_logic_vector(3 downto 0); signal MIN10 : std_logic_vector(2 downto 0); DUT: MIN port map ( CLK,MIN1WR,MIN10WR,CIN, CO, DATAIN, MIN1, MIN10 ); process CLK <= '1'; wait for 5ns; CLK <= '0'; 46

50 wait for 5ns; end process; MIN1WR <= '0','1' after 6 ns, '0' after 7 ns; MIN10WR<= '0','1' after 18 ns, '0' after 19 ns; DATAIN <= "0110", "0101" after 13 ns, "XXXX" after 25 ns; CIN <= '0', '1' after 30 ns; end stimulus; after 4,2 4,3 after after BCD1WR 0 after 6ns 1 after 7ns 1 1ns VHDL 4, ,4 24 VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 47

51 entity HOUR2 is port ( ); CLK,RESET CO end HOUR2; : in std_logic; : out std_logic; COUNT : out std_logic_vector(4 downto 0) architecture RTL of HOUR2 is signal COUNT_IN : std_logic_vector(4 downto 0); COUNT <= COUNT_IN; process(clk,reset) if(reset = '1') then COUNT_IN <= "10111"; elsif(clk'event and CLK='0') then if(count_in = "10111") then COUNT_IN <= "00000"; else COUNT_IN <= COUNT_IN + '1'; end if; if(count_in = "10110") then CO <= '1'; else CO <= '0'; 48

52 end if; end if; end process; end RTL; 4,4 24 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component HOUR2 is port ( CLK,RESET : in std_logic; CO : out std_logic; COUNT : out std_logic_vector(4 downto 0) ); end component; constant CLOCK: time := 20 ns; signal CLK,RESET : std_logic; signal CO : std_logic; signal COUNT : std_logic_vector(4 downto 0); DUT: HOUR2 port map ( CLK=>CLK, RESET=>RESET, CO=>CO, 49

53 COUNT=>COUNT ); CLOCK1: process CLK<='1'; wait for CLOCK/2; CLK<='0'; wait for CLOCK/2; end process CLOCK1; STIMULUS1: process RESET<='0'; wait for CLOCK/3; RESET<='1'; wait for CLOCK; RESET<='0'; wait; end process STIMULUS1; end stimulus; ,, CLK CO_ CLK CO_2 CLK us 23 CLK 1sec CLK 10ns 50

54 ,, VHDL 4,5 4,4 library ieee; use ieee.std_logic_1164.all; 4,5,, VHDL use ieee.std_logic_unsigned.all; entity WATCH is port ( CLK,BCD1WR_1,BCD10WR_1,CIN : in std_logic; RESET : in std_logic; MIN1WR_1,MIN10WR_1 : in std_logic; CO_1 : out std_logic; CO_2 : out std_logic; CO_3 : out std_logic; DATAIN : in std_logic_vector(3 downto 0); SEC1_1 : out std_logic_vector(3 downto 0); SEC10_1 : out std_logic_vector(2 downto 0); MIN1_1 : out std_logic_vector(3 downto 0); MIN10_1 : out std_logic_vector(2 downto 0); COUNT_1 : out std_logic_vector(4 downto 0) ); end WATCH; architecture RTL of WATCH is component SEC port ( CLK,BCD1WR,BCD10WR,CIN : in std_logic; CO : out std_logic; DATAIN : std_logic_vector(3 downto 0); SEC1 : std_logic_vector(3 downto 0); SEC10 : std_logic_vector(2 downto 0) ); end component; component MIN 51

55 port ( CLK,MIN1WR,MIN10WR,CIN :in std_logic; CO : out std_logic; DATAIN : in std_logic_vector(3 downto 0); MIN1 : out std_logic_vector(3 downto 0); MIN10 : out std_logic_vector(2 downto 0) ); end component; component HOUR2 port ( CLK,RESET :in std_logic; CO :out std_logic; COUNT :out std_logic_vector(4 downto 0) ); end component; U0: SEC port map ( CLK,BCD1WR_1,BCD10WR_1,CIN,CO_1,DATAIN,SEC1_1,SEC10_1); U1: MIN port map ( CO_1,MIN1WR_1,MIN10WR_1,CIN,CO_2,DATAIN,MIN1_1,MIN10_1); U2: HOUR2 port map ( CO_2,RESET,CO_3,COUNT_1); end RTL; library ieee; use ieee.std_logic_1164.all; 4,5,, use ieee.std_logic_unsigned.all; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component WATCH is 52

56 port ( CLK,BCD1WR_1,BCD10WR_1,CIN : in std_logic; ); MIN1WR_1,MIN10WR_1 CO_1 CO_2 CO_3 : out std_logic; : out std_logic; : out std_logic; : in std_logic; DATAIN : in std_logic_vector(3 downto 0); SEC1_1 : out std_logic_vector(3 downto 0); SEC10_1 : out std_logic_vector(2 downto 0); MIN1_1 : out std_logic_vector(3 downto 0); MIN10_1 : out std_logic_vector(2 downto 0); COUNT_1 : out std_logic_vector(4 downto 0); RESET : in std_logic end component; constant CLOCK : time := 10 ns; signal CLK,BCD1WR_1,BCD10WR_1,CIN : std_logic; signal MIN1WR_1,MIN10WR_1 : std_logic; signal CO_1 : std_logic; signal CO_2 : std_logic; signal CO_3 : std_logic; signal DATAIN : std_logic_vector(3 downto 0); signal SEC1_1 : std_logic_vector(3 downto 0); signal SEC10_1 : std_logic_vector(2 downto 0); signal MIN1_1 : std_logic_vector(3 downto 0); signal MIN10_1 : std_logic_vector(2 downto 0); signal COUNT_1 : std_logic_vector(4 downto 0); signal RESET : std_logic; DUT: WATCH port map ( CLK => CLK, RESET => RESET, 53

57 BCD1WR_1 BCD10WR_1 CIN MIN1WR_1 MIN10WR_1 CO_1 CO_2 CO_3 DATAIN SEC1_1 SEC10_1 MIN1_1 MIN10_1 COUNT_1 ); => BCD1WR_1, => BCD10WR_1, => CIN, => MIN1WR_1, => MIN10WR_1, => CO_1, => CO_2, => CO_3, => DATAIN, => SEC1_1, => SEC10_1, => MIN1_1, => MIN10_1, => COUNT_1 CLOCK1: process clk <= '1'; wait for CLOCK/2; clk <= '0'; wait for CLOCK/2; end process CLOCK1; STIMULUS1 : process RESET<='0'; wait for CLOCK/3; RESET<='1'; wait for CLOCK; RESET<='0'; wait; end process STIMULUS1; BCD1WR_1 <= '0','1' after 6ns, '0' after 7ns; BCD10WR_1 <= '0','1' after 18ns, '0' after 19ns; MIN1WR_1 <= '0','1' after 6ns, '0' after 7ns; 54

58 MIN10WR_1 <= '0','1' after 18ns, '0' after 19ns; DATAIN <= "0110", "0101" after 13ns, "XXXX" after 25ns; CIN <= '0','1' after 30ns; end stimulus; 55

59 4,4,, 56

60 4,4,, 57

61 VHDL 3 PSpice Pspice 4 VHDL VHDL VHDL 58

62 59

63 [1] [2] IC [3] [4]VHDL CQ [5] 60

64 case case when = = If case 1 when others others 2 case 2 case case is end case when when when to when other VHDL architecture entity component is 61

65 end component component [ ] [ ] end component architecture port map port map FULL_ADDER U0_S HALF_ADDER A CIN B S S U1_CO 2 FULL_ADDER VHDL FULL_ADDER VHDL library ieee; use ieee.std_logic_1164.all; entity FA is port ( A,B,CIN: in std_logic; 62

66 ); end FA; S,CO: out std_logic architecture STRUCTURE of FA is component HA port ( A,B : in std_logic; S,CO : out std_logic); end component; signal U0_CO,U0_S,U1_CO : std_logic; U0 : HA port map ( A,B,U0_S,U0_CO ); U1 : HA port map ( U0_S,CIN,S,U1_CO ); -- CO <= U0_CO or U1_CO; end STRUCTURE; VHDL VHDL wait wait Wait wait until wait on wait on wait on wait on 63

67 wait Wait 1 1 wait wait on wait until wait for wait 64

68 VHDL VHDL, VHDL library ieee; use ieee.std_logic_unsigned.all; use ieee.std_logic_1164.all; entity DAYMONTH is port ( CLK,RESET : in std_logic; DAY : out std_logic_vector(4 downto 0); MONTH : out std_logic_vector(3 downto 0); CO : out std_logic ); end DAYMONTH; architecture RTL of DAYMONTH is signal NICHI : std_logic_vector(4 downto 0); signal TUKI : std_logic_vector(3 downto 0); DAY <= NICHI; MONTH <= TUKI; process(reset,clk) 65

69 if (RESET='1') then TUKI <= "0001"; NICHI <= "00000"; elsif(clk'event and CLK='1') then if(tuki= "0001" or TUKI= "0011" or TUKI= "0101" or TUKI= "0111" or TUKI= "1000" or TUKI= "1010" ) then if(nichi="11111")then NICHI <= "00001"; TUKI <= TUKI + '1'; CO <= '0'; else NICHI<=NICHI+'1'; CO <= '0'; end if; elsif(tuki="0010") then if(nichi="11100") then NICHI <= "00001"; TUKI <= TUKI + '1'; CO <= '0'; else NICHI <= NICHI + '1'; CO <= '0'; end if; elsif(tuki="1100") then if(nichi="11111") then NICHI <= "00001"; TUKI <= "0001"; CO <= '1'; else NICHI <= NICHI+'1'; CO <= '0'; end if; else if(nichi="11110")then 66

70 end if; end if; end process; NICHI <= "00001"; TUKI <= TUKI + '1'; CO else <= '0'; NICHI <= NICHI + '1'; CO end if; <= '0'; end RTL; library ieee; use ieee.std_logic_1164.all; use std.textio.all; use work.cale; entity TESTBNCH is end TESTBNCH; architecture STIMULUS of TESTBNCH is component DAYMONTH is port ( CLK : in std_logic; RESET : in std_logic; DAY : out std_logic_vector(4 downto 0); MONTH : out std_logic_vector(3 downto 0); CO : out std_logic ); end component; constant CLOCK : time := 10 ns; 67

71 signal CLK : std_logic; signal RESET : std_logic; signal DAY : std_logic_vector(4 downto 0); signal MONTH : std_logic_vector(3 downto 0); signal CO : std_logic; DUT: DAYMONTH port map ( CLK => CLK, RESET => RESET, DAY => DAY, MONTH => MONTH, CO => CO ); STIMULUS2:process CLK<='0'; wait for CLOCK; CLK<='1'; wait for CLOCK; end process STIMULUS2; STIMULUS3:process RESET<='0';wait for 3ns; RESET<='1';wait for 6ns; RESET<='0';wait; end process STIMULUS3; end STIMULUS; VHDL 68

72 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity YEAR_DOWN is port ( CLK,RESET : in std_logic; YEAR_D : out std_logic_vector(6 downto 0); YEAR_D_OUT : out std_logic ); end YEAR_DOWN; architecture RTL of YEAR_DOWN is signal YEAR_D_IN : std_logic_vector(6 downto 0); YEAR_D <= YEAR_D_IN; process(clk,reset) if(reset='1') then YEAR_D_IN <= " "; YEAR_D_OUT<= '0'; elsif(clk'event and CLK='1') then if(year_d_in = " ") then YEAR_D_IN <= " "; YEAR_D_OUT<= '1'; else YEAR_D_IN <= YEAR_D_IN + 1; YEAR_D_OUT<= '0'; end if; end if; 69

73 end process; end RTL; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component YEAR_DOWN is port ( CLK,RESET: in std_logic; YEAR_D: out std_logic ); end component; constant PERIOD: time := 20 ns; signal CLK,RESET: std_logic; signal YEAR_D: std_logic_vector(6 downto 0); DUT: YEAR_DOWN port map ( CLK=>CLK, RESET=>RESET, YEAR_D=>YEAR_D ); CLOCK1: process 70

74 clk<='1'; wait for PERIOD/2; clk<='0'; wait for PERIOD/2; end process CLOCK1; STIMULUS1: process RESET<='0'; wait for PERIOD/3; RESET<='1'; wait for PERIOD; RESET<='0'; wait; end process STIMULUS1; end stimulus; VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity YEAR_UP is port ( CLK,RESET : in std_logic; YEAR_U : out std_logic_vector(6 downto 0) ); end YEAR_UP; architecture RTL of YEAR_UP is signal YEAR_U_IN : std_logic_vector(6 downto 0); YEAR_U <= YEAR_U_IN; process(clk,reset) if(reset='1') then YEAR_U_IN <= " "; 71

75 elsif(clk'event and CLK='1') then if(year_u_in = " ") then YEAR_U_IN <= " "; else YEAR_U_IN <= YEAR_U_IN + 1; end if; end if; end process; end RTL; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component YEAR_UP is port ( CLK,RESET: in std_logic; YEAR_U: out std_logic ); end component; constant PERIOD: time := 20 ns; signal CLK,RESET: std_logic; signal YEAR_U: std_logic_vector(6 downto 0); 72

76 DUT: YEAR_UP port map ( ); CLK=>CLK, RESET=>RESET, YEAR_U=>YEAR_U CLOCK1: process clk<='1'; wait for PERIOD/2; clk<='0'; wait for PERIOD/2; end process CLOCK1; STIMULUS1: process RESET<='0'; wait for PERIOD/3; RESET<='1'; wait for PERIOD; RESET<='0'; wait; end process STIMULUS1; end stimulus; 73

77 VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TOTALcale is port ( CLK,RESET : in std_logic; DAY_1 : out std_logic_vector(4 downto 0); MONTH_1 : out std_logic_vector(3 downto 0); CO_1 : out std_logic; YEAR_D1 : out std_logic_vector(6 downto 0); YEAR_D_OUT1 : out std_logic; YEAR_UP1 : out std_logic_vector(6 downto 0) ); end TOTALcale; architecture RTL of TOTALcale is component DAYMONTH port ( CLK,RESET : in std_logic; DAY : out std_logic_vector(4 downto 0); MONTH : out std_logic_vector(3 downto 0); CO : out std_logic ); end component; component YEAR_DOWN port ( CLK,RESET : in std_logic; YEAR_D : out std_logic_vector(6 downto 0); YEAR_D_OUT : out std_logic ); end component; 74

78 component YEAR_UP port ( ); CLK,RESET : in std_logic; YEAR_U : out std_logic_vector(6 downto 0) end component; U0: DAYMONTH port map ( CLK, RESET, DAY_1, MONTH_1, CO_1); U1: YEAR_DOWN port map ( CO_1, RESET, YEAR_D1, YEAR_D_OUT1); U2: YEAR_UP port map ( YEAR_D_OUT1, RESET, YEAR_UP1); end RTL;,, library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity TESTBNCH is end TESTBNCH; architecture stimulus of TESTBNCH is component TOTALcale is port ( CLK,RESET : in std_logic; DAY_1 : out std_logic_vector(4 downto 0); MONTH_1 : out std_logic_vector(3 downto 0); CO_1 : out std_logic; YEAR_D1 : out std_logic_vector(6 downto 0); YEAR_D_OUT1 : out std_logic; YEAR_UP1 : out std_logic_vector(6 downto 0) ); end component; constant PERIOD: time := 20 ns; 75

79 signal CLK,RESET : std_logic; signal DAY_1 : std_logic_vector(4 downto 0); signal MONTH_1 : std_logic_vector(3 downto 0); signal CO_1 : std_logic; signal YEAR_D1 : std_logic_vector(6 downto 0); signal YEAR_D_OUT1 : std_logic; signal YEAR_UP1 : std_logic_vector(6 downto 0); DUT: TOTALcale port map ( CLK => CLK, RESET => RESET, DAY_1 => DAY_1, MONTH_1 => MONTH_1, CO_1 => CO_1, YEAR_D1 => YEAR_D1, YEAR_D_OUT1 => YEAR_D_OUT1, YEAR_UP1 => YEAR_UP1 ); CLOCK1: process clk<='1'; wait for PERIOD/2; clk<='0'; wait for PERIOD/2; end process CLOCK1; STIMULUS1: process RESET<='0'; wait for 3 ns; RESET<='1'; wait for 17 ns; RESET<='0'; wait; end process STIMULUS1; end stimulus; 76

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

TECH_I Vol.25 改訂新版PCIデバイス設計入門

TECH_I Vol.25 改訂新版PCIデバイス設計入門 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity n is port( ); end entity n; architecture RTL of nis begin when : process begin end process :process begin end process

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

卒 業 研 究 報 告

卒 業 研 究 報 告 VHDL 1040183 16 2 17 1 1 2 2 2 2 2 1 2 2 2 3 7 3 18 19 20 22 23 25 4 VHDL 27 27 8 BCD 2 27 28 REG_B 29 29 STATE 29 31 VHDL 5 VHDL 1 CPU Hardware Description Language : HDL VHDL VHSIC HDL 1 2 3 VHDL 4 3

More information

VBI VBI FM FM FM FM FM DARC DARC

VBI VBI FM FM FM FM FM DARC DARC 14 2 7 2.1 2.1.1 2.1.2 2.1.3 2.1.3.1 VBI 2.1.3.2 VBI 2.1.4 2.1.5 2.1.6 10 2.FM 11 2.2.1 FM 11 2.2.2 FM 11 2.2.3FM 13 2.2.4 FM DARC 14 2.2.4.1 DARC 14 2.2.4.2 DARC 14 17 3.1 17 3.1.1 parity 17 3.1.2 18

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

フリップフロップ

フリップフロップ 第 3 章フリップ フロップ 大阪大学大学院情報科学研究科 今井正治 imai@ist.osaka-u.ac.jp http://www-ise1.ist.osaka-u.ac.jp/~imai/ 2005/10/17 2006, Masaharu Imai 1 講義内容 フリップ フロップの基本原理 RS フリップ フロップ D ラッチ D フリップ フロップ JK フリップ フロップ T フリップ

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

------------------------------------------------------------------------------------------------------- 1 --------------------------------------------

------------------------------------------------------------------------------------------------------- 1 -------------------------------------------- ------------------------------------------------------------------------------------------------------- 1 -------------------------------------------------------------------------- 2 -----------------------------------------------------------------------------

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

LSI LSI 2

LSI LSI 2 LSI LSI 2 P=CV 2 F 3 4 5 EDA Electric Design Automation) LSI CAD Computer Aided Design) Verilog Verify Logic VHDL VHSIC Description Language) SystemC C SFL Structured Functional description Language) NTT

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

PeakVHDL Max+Plus VGA VG

PeakVHDL Max+Plus VGA VG 2001 PC 9720002 14 2 7 4 1 5 1.1... 5 1.2... 5 1.3... 6 1.4... 6 2 7 2.1... 7 2.2... 8 2.2.1... 8 2.3... 9 2.3.1 PeakVHDL... 9 2.3.2 Max+Plus2... 9 3 VGA 10 3.1... 10 3.2 VGA... 10 3.3 VGA... 11 3.4 VGA...

More information

COINS 5 2.1

COINS 5 2.1 COINS (0501699) 20 21 2 5 1 3 1.1....................................... 3 1.2..................................... 4 1.3....................................... 4 2 COINS 5 2.1 COINS..................................

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

RSA FA FA AND Booth FA FA RSA 3 4 5

RSA FA FA AND Booth FA FA RSA 3 4 5 RSA High-Speed Multiplication for RSA ode using Redundant Binary System 6585 6 6 RSA FA FA AND Booth FA FA RSA 3 4 5 This paper summarizes High-Speed Multiplication for RSA ode using Redundant Binary System,

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok VHDL-AMS 1-3 1200 Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan E-mail: tkato@mail.doshisha.ac.jp E-mail:

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

VHDL

VHDL VHDL 4 4 3 3 6 6 6 9 4 8 5 9 5 5 6 9 3 3 3 35 36 37 38 FIRIIR A/D D/A NOSCOS LSI FIR IIR x a x a a ; ; H a H T j e T j e T j T a j T a T j T a e a H e H T j sin cos sin cos T j I T j R T a e H T a e H

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

論理回路設計

論理回路設計 2017 年度前期集中講義 論理回路設計 - 実習 :VHDL によるデジタル回路設計 - 講座の目的実習を通して 専門分野の問題発見 解決の能力を修得する - LSI 設計の基礎知識を得る - 言語 :VHDLによる設計手法を実習する - EDAツールの操作を経験する - FPGAを搭載した評価ボードで動作を確認する 東京理科大学 基礎工学部電子応用工学科 ( 非常勤講師 ) 藤岡督也 1 /76

More information

論理回路設計

論理回路設計 2016 年度前期集中講義 論理回路設計 - 実習 :VHDL によるデジタル回路設計 - 講座の目的実習を通して 専門分野の問題発見 解決の能力を修得する - LSI 設計の基礎知識を得る - 言語 :VHDLによる設計手法を実習する - EDAツールの操作を経験する - FPGAを搭載した評価ボードで動作を確認する 東京理科大学 基礎工学部電子応用工学科 ( 非常勤講師 ) 藤岡督也 1 /100

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

<4D F736F F D2091B28BC68CA48B8695F18D902E646F63>

<4D F736F F D2091B28BC68CA48B8695F18D902E646F63> 卒業研究報告 題目 LED ディスプレイ用動画表示制御回路の設計と製作 指導教員 矢野政顕教授 報告者学籍番号 : 1060237 氏名 : 田中振宇 平成 18 年 2 月 21 日 高知工科大学電子 光システム工学科 目次 第 1 章はじめに 1 第 2 章 LED ディスプレイ 2 2-1 LED(Light Emitting Diode) 2 2-1-1 LED の発光原理 2 2-1-2

More information

論理回路設計

論理回路設計 2018 年度前期集中講義 論理回路設計 - 実習 :VHDL によるデジタル回路設計 講座の目的実習を通して 専門分野の問題発見 解決の能力を修得する - LSI 設計の基礎知識を得る - 言語 :VHDLによる設計手法を実習する - EDAツールの操作を経験する - FPGAを搭載した評価ボードで動作を確認する 東京理科大学 基礎工学部電子応用工学科 ( 非常勤講師 ) 藤岡督也 1 /80 集中講義の日程

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

システムオンチップ技術

システムオンチップ技術 (SoC) 2004/6/11 Yukihiro Nakamura e-mail: nakamura@kuee.kyoto-u.ac.jp u.ac.jp (VLSI) () VLSI DIPS IBM370 CPU MH MB GB DIPS-11201975 VAIO LSI Sony VAIO CPU MH MB GB Pentium () () V,S.,B Sun Micro

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

ディジタル電子回路 設計演習課題

ディジタル電子回路 設計演習課題 Arch 研究室スキルアップ講座 NEXYS4 による 24 時間時計 仕様書および設計例 1 実験ボード (NEXYS4) 外観 ダウンロード (USB) ケーブル接続端子 FPGA:Xilinx 社製 Artix7 XC7A100T-CSG324 7 セグメント LED8 個 LED16 個 リセット SW スライドスイッチ (16 個 ) 押しボタンスイッチ (5 個 ) 2 実験ボードブロック図

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices

IEEE (JTAG) Boundary-Scan Testing for Stratix II & Stratix II GX Devices 4. Stratix II Stratix II GX IEEE 49. (JTAG) SII529-3. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 4-4-. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

SystemC 2.0を用いた簡易CPUバスモデルの設計

SystemC 2.0を用いた簡易CPUバスモデルの設計 SystemC 2.0 CPU CPU CTD&SW CT-PF 2002/1/23 1 CPU BCA UTF GenericCPU IO (sc_main) 2002/1/23 2 CPU CPU CQ 1997 11 Page 207 4 Perl Verilog-HDL CPU / Verilog-HDL SystemC 2.0 (asm) ROM (test.hex) 2002/1/23

More information

Report Template

Report Template MachXO2 EFB(Embedded Function Block) 1 目次 1 このドキュメントの概要 3 2 EFB の構成 4 3 EFB とハードマクロの生成と注意事項 5 3.1 EFB Enables タブの設定... 5 3.2 I2C タブの設定... 6 3.3 SPI タブの設定... 7 3.4 Timer/Counter タブの設定... 9 4 Wishbone から

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

卒業研究報告.PDF

卒業研究報告.PDF 3 2 9 . 2. MOS 2. MOS 2 3. 3. 3.2 3.3 3.4 3.5 3.5. 3.5.2 2 3.5.3 LED 3.6 3.7 3.8 3.9 i 4. 8bitCPU 4. 4.2 4.2. 4.2.2 2 3 4 4.2.3 4.2.4 4.2.5 4.2.6 4.2.7 4.2.8 4.2.9 4.3 HDL 4.3. ALU 2 ALU 3 4 5 6 7 8 4.3.2

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

Arria GXデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. Arria GX IEEE 49. (JTAG) AGX523-. PCB PCB Bed-of-nails PCB 98 Joint Test Action Group (JTAG) IEEE Std. 49. (BST) PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data

More information

untitled

untitled 1.0 1. Display Format 8*2 Character 2. Power Supply 3.3V 3. Overall Module Size 30.0mm(W) x 19.5mm(H) x max 5.5mm(D) 4. Viewing Aera(W*H) 27.0mm(W) x 10.5mm(H) 5. Dot Size (W*H) 0.45mm(W) x 0.50mm(H) 6.

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

untitled

untitled COPAL ELECTRONICS 32 (DP) DP INC 2 3 3 RH RL RWB 32 C S U/D INC U/D CS 2 2 DP7114 32 SOIC CMOS 2.5 V - 6.0 V / 10 kω 50 kω 100 kω TSSOP MSOP /BFR INC / U/D RH RoHS GND RWB RL CS VCC 2017 6 15 1 : R = 2

More information

FPGAメモリおよび定数のインシステム・アップデート

FPGAメモリおよび定数のインシステム・アップデート QII53012-7.2.0 15. FPGA FPGA Quartus II Joint Test Action Group JTAG FPGA FPGA FPGA Quartus II In-System Memory Content Editor FPGA 15 2 15 3 15 3 15 4 In-System Memory Content Editor Quartus II In-System

More information

MAX1213N EV.J

MAX1213N EV.J 19-0610; Rev 0; 7/06 DESIGNATION QTY DESCRIPTION C1 C9, C13, C15, C16, C18, C19, C20, C35 C39, C49, C52 22 C10, C27, C28, C40 4 C11, C30 2 C12, C17, C58 C71 0 C14, C33 2 C21 C24 4 C25, C26, C51, C53, C54,

More information

/ FPGA LSI [1] CDP DDP 2 LSI FPGA PicoProcessor(pP)[2] (STP)[1] DDP 1.27 i

/ FPGA LSI [1] CDP DDP 2 LSI FPGA PicoProcessor(pP)[2] (STP)[1] DDP 1.27 i 22 / FPGA A Study of FPGA Platform for Architecture Evaluation of a Data-Driven/Control-Driven Processor 1110232 / FPGA LSI [1] CDP DDP 2 LSI FPGA PicoProcessor(pP)[2] (STP)[1] DDP 1.27 i Abstract A Study

More information

日立評論2008年1月号 : 基盤技術製品

日立評論2008年1月号 : 基盤技術製品 Infrastructure Technology / Products HIGHLIGHTS 2008 HDD 2.5 HDD3.5 HDD 1 Deskstar 7K1000 HDD Hard Disk Drive 2006 5 PC 2.5 HDD HDD 3.5 HDD1 1 2007 3Deskstar 7K1000 3.5 HDD 1149 Deskstar 7K500 2 GMR Giant

More information

Compatibility list: vTESTstudio/CANoe

Compatibility list: vTESTstudio/CANoe 1.0 および 1.1 で作成されたテストユニットは テスト内で使用されるコマンドに関わらず 必ず下記の最小バージョン以降の CANoe にて実行してください vteststudio 2.0 以上で作成されたテストユニット ( 新機能を使用していない場合 ) は それぞれに応じた最小バージョン以降の CANoe にて実行してください 下記の表にて 各バージョンに対応する要件をご確認ください vteststudio

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

Microsoft Word - ②(添付資料)家庭の夏期節電実態調査の結果について

Microsoft Word - ②(添付資料)家庭の夏期節電実態調査の結果について CO 2 1. 2012 CO 2 CO 2 CO 2 2. 2012 9 28 10 1 8,241 CO 2 3. (1) 2012 2.7%CO 2 1% 1 2 5.8% 3.7% (2.8%)(2.8%) 6 4 5% 1 3 7 5%3 (2) 2012 32%2011 21 (39%)(38%)(37%) 8 2011 (+17.9 ) (+11.9 )(+9.3 )2012 8 (-14.9

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i 20 BIST LSI LSI Implementation of Self-Timed Ultra High Speed BIST Circuit 1090384 2009 3 5 BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information