福岡カーエレ研究会

Size: px
Start display at page:

Download "福岡カーエレ研究会"

Transcription

1 モデルベース開発の業界動向 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD を支援する MathWorks ツールの今後の展望 マルチドメインシステムモデリング シミュレーションの高速化 コード生成 テスト検証の強化 終わりに 2 1

2 はじめに 3 会社概要 - MathWorks, Inc 年創業 本社 : 米国マサチューセッツ州, Natick 従業員 2,300 名超 製品 :MATLAB プロダクトファミリ, Polyspace 6 ヶ月毎に最新版をリリース (2006/03~) 3 月と 9 月の年 2 回 (R20XX a/b) 25 カ国に代理店を展開 350 社以上のパートナー Natick 本社ビル 導入実績 175 カ国以上 100 万人以上のユーザ 3,500 以上の大学 日本法人 : MathWorks Japan 2009/7/1 より営業開始 オフィス : 東京 名古屋 大阪 Earth s topography on an equidistant cylindrical projection, created with MATLAB and Mapping Toolbox. 東京オフィス (7F, 8F) 4 2

3 MathWorks 製品のご紹介 MATLAB 科学技術計算のための最先端の開発環境 対話的なプログラミング環境 アプリケーション固有の簡潔なプログラミング言語 データの探索 解析 計算およびグラフィックス機能 アルゴリズム開発 カスタマイズ可能な各種機能 Simulink 視覚的に理解可能なモデリング / シミュレーション環境 ブロック線図シミュレーション環境 開発ツールの連携による統合された開発環境 自動コード生成による組込み Polyspace テストケースを必要としない静的なコード解析ツール ソースコード内にランタイムエラーが存在しないことを証明 MISRA-Cなどのコーディング規約の適用 IEC61508, ISO26262, DO-178など各種認証のレポート 5 MATLAB&Simulink プロダクトファミリ ( 機能別 ) Fixed Point Toolbox, Simulink Fixed Point xpc Target EDA Simulator Link, Simulink Verification and Validation, Simulink Design Verifier, SystemTest, Polyspace Parallel Computing Toolbox, MATLAB Distributed Computing Server Statistics Toolbox, Curve Fitting Toolbox, Symbolic Math Toolbox, Optimization Toolbox, Neural Network Toolbox Stateflow, SimEvents Simscape, SimRF, SimElectronics, SimPowerSystems Simulink 3D Animation, Gauges Blockset, Simulink Report Generator MATLAB Coder, Simulink Coder, Embedded Coder, Filter Design HDL Coder, Simulink HDL Coder Database Toolbox, MATLAB Report Generator MATLAB Compiler, MATLAB Builder NE/JA/EX, Spreadsheet Link EX 6 3

4 MATLAB プロダクトファミリ ( 適用分野別 ) Control System Toolbox System Identification Toolbox Fuzzy Logic Toolbox Robust Control Toolbox Simulink Control Design Simulink Design Optimization Image Processing Toolbox Computer Vision System Toolbox Mapping Toolbox Financial Toolbox Econometrics Toolbox Datafeed Toolbox Fixed-Income Toolbox Financial Derivatives Toolbox Signal Processing Toolbox DSP System Toolbox Communications System Toolbox Wavelet Toolbox Fixed Point Toolbox RF Toolbox Phased Array System Toolbox SimRF Data Acquisition Toolbox Instrument Control Toolbox Image Acquisition Toolbox Vehicle Network Toolbox Bioinformatics Toolbox SimBiology 7 主要な顧客エリア 航空宇宙 / 防衛 自動車 通信 エレクトロニクス 半導体 産業機器 オートメーション エネルギー産業 教育 バイオ 製薬 金融サービス 8 4

5 今日のモデルベース開発とその背景 9 モデルベース開発の Best Practice ー車載機器開発における MATLAB 利用事例 システム設計から 制御アルゴリズムの開発 プロトタイピング 組込みソフトウェアの実装 検証にモデルを適用 例 ) パワートレイン制御 ガソリン / ディーゼルエンジンの燃焼制御 トランスミッション制御 HEV/EV の制御 モーター制御 バッテリー制御 走行制御 サスペンション制御 EPS システム開発 ソフトウェア開発 10 5

6 ユーザー様の声 : 自動車業界 アイシン エィ ダブリュ株式会社久保様, JMAAB 活動紹介, JMAAB Open Conference 東風電動車両 モデルベースデザインを使用してハイブリッド電気自動車のバッテリ管理システムを開発 チャレンジハイブリッド電気バス用のバッテリ管理制御システムを開発すること ソリューションコントローラー設計のコードをモデルリング 検証 生成するために MathWorks のモデルベースデザインツールを採用 結果 予定より早くプロジェクトを完了 設計の再利用が可能に 全てのアプリケーションコードを自動生成 The Dongfeng EQ6110 hybrid electric city bus. モデルベースデザインの導入により アイデ アの発案から量産コードの生成に至るまでの 開発プロセスを統合することができました MathWorks ツールのおかげで 設計の早期 段階から連続的な検証が可能となり 我々が 持つ高度な技術力を活かして重要なバッテリ 管理技術の開発ができるようになりました " Dr. Xiaokang Liu Dongfeng Electric Vehicle Link to user story 12 6

7 Medrad 社は MathWorks のツールにより MRI 血管造影剤注入ポンプの安全性を確保 チャレンジ患者への薬剤の安全レベルを管理する MRI 血管造影剤注入ポンプの設計 ソリューションポンプの圧力センシング技術の改善に MathWorks のツールを使用 結果 設計期間を数か月短縮 名誉ある産業賞を受賞 FDA の承認を獲得 Link to user story Medrad 社の Spectris Solaris 造影剤注入システム MathWorks ツールにより シ ステムレベルでコンポーネント 間の相互作用を理解することが 可能となり 物理特性をモデル 化し ポンプの安全限界を非常 に効率的かつ迅速に決定するこ とができました John F. Kalafut Medrad 13 Faraday が SIP 開発を加速し NAND フラッシュコントローラ ECC エンジンのゲート数を 57% まで削減 チャレンジ SoC および ASIC の開発の高速化 ソリューションシステムレベルシミュレーションの高速化 システム性能の改善 および商品化までの期間短縮のためのモデルベースデザイン環境構築に MathWorks 製品を採用 結果 シミュレーションを 200 倍高速化 スループット性能を 15% 向上 ゲート数を 57% 削減 Link to user story Faraday s silicon IP on an SoC. Simulink 環境はシステムレベルアー キテクチャの検討に理想的です シ ミュレーションは従来のワークフロー より 200 倍速くなりました そして Simulink モデルは C にも HDL にも容易 に変換することができます それは高 いスケーラビリティと再利用性を実現 するでしょう Ken Chen Faraday 14 7

8 モデルベース開発のトレンド 1. MBD 適用分野の拡大 MBD の効果は 航空宇宙 防衛 自動車以外の分野でも証明済み MBD の適用は制御 信号処理のいずれの分野にも可能である 2. 自動コード生成適用の深化 プロトタイプ向けから量産用 C コードへ C コード ( ソフトウェア開発 ) から HDL(IC, LSI 開発 ) へ 3. 安全性 品質保証への対応 FDA に代表される第三者認証機関も MBD に基づく開発プロセスの信頼性や 開発された製品の品質を認めている 4. 地域的な広がり 北米 ヨーロッパ 日本からアジアへ 15 MBD 適用分野の拡大の背景には 産業別比較 : MBD vs. non-mbd MBD Cost Non-MBD Cost MBD Advantage Telecom/Datacom $3,224,478 $6,279, % Auto/Transportation $2,270,597 $3,151, % Industrial Automation $1,605,783 $1,593, % Medical $1,265,059 $2,269, % Military/Aerospace Special Analysis 出展 :Model-Based Design in Practice A Survey of Outcomes for Engineers and Business Leaders/Embedded Market Focus 16 8

9 自動コード生成の適用深化の背景 組込みソフトウェアの開発規模は増大中 開発要員を増やせば解決できる問題か? KLOCS Engineering Man Months 's G 2010 出展 : Bob Frankel, Chief SW strategist & TI Fellow 17 自動コード生成の適用深化の背景 自動生成されたコードはハンドコードよりもよい結果に Visteon, SAE Technical Paper , March

10 安全性 品質保証への対応の背景 機能安全規格への対応 IEC61508 ISO26262 DO-178B DO-254 ガイドラインへの準拠 AUTOSAR MISRA-C MAAB Style Guide NASA Orion GN&C Guideline 19 地域的な広がりの背景には 地域別比較 : MBD vs. non-mbd MBD Cost Non-MBD Cost MBD Advantage North America $3,153,452 $3,921, % Europe $2,722,134 $3,744, % Asia $3,374,067 $10,189, % 実際 アジアにおける開発プロジェクト毎に比較すると non-mbd 開発では MBD 開発の約 2 倍の人数の開発者が投入されている 出展 :Model-Based Design in Practice A Survey of Outcomes for Engineers and Business Leaders/Embedded Market Focus 20 10

11 ユーザーニーズの変化への対応 1. 新しいテクノロジーへの対応 2. 実現手段は多様化 複雑化 3. 安全性 品質への厳しい要求 統合開発環境へのステップ 1. マルチドメインシステムモデリング 2. コード生成 3. テスト & 検証 21 MBD を支援する MathWorks ツールの今後の展望 22 11

12 モデルベースデザインにおける MATLAB&Simulink の位置付け 対象となるシステムを記述した モデル を仕様として定義し このモデルをよりどころとして開発プロセスを再構築する手法 広義のモデルベース開発 ソフトウェアの分析 / 設計モデル 信号処理や制御アルゴリズムのモデル ( 日経エレクトロニクス 2 月号 /2008 より ) 狭義のモデルベース開発 (MathWorks 製品の適用範囲 ) 23 システムモデリング 研究要件定義システム設計環境モデルタイミング / 制御ロジック デジタルモデルアナログモデル アルゴリズム テスト & 検証 実行可能な仕様書 紙ベースの仕様書を補完する モデル チーム間コミュニケーションを改善 シミュレーションによる設計 複合ドメインシステムをモデルに統合 設計のトレードオフ探索 サブシステム間の相互作用検証 性能向上や全体最適化を実現するには異なる技術ドメインを組み合わせた検討が必要 24 12

13 マルチドメインシステムモデル風力発電システムの 実行可能な仕様書 モデル 25 様々なモデリングアプローチ太陽電池セルのコンポーネントモデル 第 1 原理 Simulink 物理コンポーネント Simscape より高度な電気コンポーネントライブラリ SimElectronics 26 13

14 シミュレーション事例 : 安川電機様 アプリケーション メカトロ機器開発用連成シミュレーション環境の構築 課題 試作検証に課題 機能開発 機器開発コスト大 実機による統合検証の工数大 最終実機検証後の手戻り メリット 連成シミュレーション環境構築 機械装置 周辺環境必要なし 実機による統合検証の性能評価 問題点の分離が容易 設計資源の有効利用 出典 :MATLAB EXPO2008 メカトロ機器開発における連成シミュレーション 27 コード生成 テスト & 検証 システム設計 環境モデル タイミング / 制御ロジック デジタルモデル コンポーネント開発 / 実装 C, C++ アルゴリズム VHDL, Verilog MCU DSP FPGA ASIC アナログモデル Electric RF, Analog テスト & 検証 自動コード生成 事前に検証された C, HDL を自動生成 再現性の高い実装結果 プロトタイプテストを効率化 連続したテスト 検証 モデルを再利用した検証用テストベンチ 協調シミュレーション / テストの自動化 より分かりやすい指標で可視化 実現性や性能見込を早期に確認し 検証を効率化するにはアルゴリズムと実装の架け橋が必要 28 14

15 自動コード生成を利用したテスト検証の例 アルゴリズム検証のためのコード生成 開発早期に実現性を見極める手段の一つ ラピッドプロトタイピング シミュレーション高速化 他の設計 / 開発プラットフォームへデザインをエクスポート 実装を検証するためのコード生成 実装対象となるコンポーネントモデルからコード生成 PILS 実装対象以外のコンポーネントモデルからコード生成 HILS 29 MATLAB & Simulink からのコード生成機能 MATLAB Coder (C) Embedded Coder (C) Simulink Coder (C) Simulink HDL Coder (Verilog or VHDL Code) DSP & µc FPGA & ASIC 30 15

16 異なるターゲットを対象としたコード生成の適用例 Simulink 高抽象度モデル モデルの詳細化 H/W 実装用 HDL コード生成 Simulink HDL Coder Virtual Platform Simulink 詳細モデル 31 C/HDL を統合したマルチドメインシステム開発 システムモデル ~ シミュレーション ~C/HDL コード生成 ~ 検証 組込み用コードを協調シミュレーションにより検証 フィードバック系で検証可能 AC Motor 入力信号 速度制御 MPU 電流制御 FPGA SW 電源 AC モーター データ解析 Embedded Coder 生成 生成 EDA Simulator Link Simulink IDE HDL Simulator C HDL 32 16

17 標準規格への対応 33 終わりに 34 17

18 マルチドメインモデルからマルチターゲットへ実装 モデルのエントリー 実行可能な仕様書 Simulink Model Simulink Block コード生成 C Stateflow C++ HDL PLC MATLAB code マルチドメイン コンポーネント間の相互作用分析システム全体の最適化 マルチターゲット Simscape 35 システムシミュレーションの高速化 並列処理技術へのスケーラブルな対応 並列処理リソースを自動的に利用可能なコンポーネントライブラリ モデリング環境の整備 モデルに変更を加えることなく シミュレーションを実行する環境を変えるだけで高速化が実現 GPU シングルプロセッサ マルチコア マルチプロセッサ クラスタ グリッド クラウド 36 18

19 コーディングからモデルの詳細化へ システムレベルの高抽象度モデル ブロックレベル (HW 部 ) 詳細設計 コード生成設定 デバイス指定 リソース共有 パイプライン化, etc. 実装用コード (HDL or C) 自動生成 全体最適化アルゴリズム検証 ブロックレベル (SW 部 ) コード生成設定 プロセッサ指定 メモリマップ スケジューラ, etc. 詳細設計 37 モデル コード 実機による協調検証環境 モデル コード 実機 38 19

20 シミュレーション実行前にエラーを検出 Before After テストベクタ生成の自動化 より速く振舞いの正しさを検証 エラー検出を自動化 バグの発見を自動化 シミュレーションを実行し エラーを検出 エラーを検出 修正した後にシミュレーションを実行 39 MATLAB & Simulink によるモデルベースデザイン 実行可能な仕様書 実行可能な仕様書 紙ベースの仕様書を補完する モデル チーム間コミュニケーションを改善 シミュレーションによる設計 Models 連続したテスト 検証 シミュレーションによる設計 複合ドメインシステムを同一環境でモデル化 設計のトレードオフ探索 サブシステム間の相互作用検証 自動コード生成 自動コード生成 事前に検証された C, HDL コードを自動生成 再現性の高い実装結果 プロトタイプテストを効率化 連続したテスト 検証 システムモデルを検証用テストベンチに再利用 協調シミュレーション / テストの自動化 より分かりやすい指標で可視化 40 20

21 ご清聴ありがとうございました 2011 The MathWorks, Inc. MATLAB and Simulink are registered trademarks of The MathWorks, Inc. See for a list of additional trademarks. Other product or brand names may be trademarks or registered trademarks of their respective holders

モデルベースデザイン(MBD)を始めましょう!

モデルベースデザイン(MBD)を始めましょう! モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2 はじめに スマートシステムの増加 ソフトの高機能化

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望 MATLAB/Simulink を利用したモデルベース開発のトレンドと将来展望 2009 年 5 月 21 日 ( 木 ) 2009 CYBERNET SYSTEMS CO.,LTD. All Rights Reserved. アジェンダ はじめに The MathWorks MATLABプロダクトファミリ 自動車業界での利用 モデルベース開発 モデルベース開発とは 導入効果 開発プロセスのトレンド

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

f3-power-train-simulation-mw

f3-power-train-simulation-mw 車両全体シミュレーションを活用しよう 電動パワートレインシステム開発を例題に MathWorks Application Engineering 宮川浩 2015 The MathWorks, Inc. 1 電動パワートレインの選択 モータは一つ パラレルハイブリッド モータをどこに置くのがベストでしょうか? 燃費がより良いのは? 加速性能がより良いのは? 3 電動パワートレイン比較結果 P0 P1

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~ MATLAB/Simulink による AMS 活用事例 ~Mixed-Signal Library 2.0 のご紹介 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア竹本佳充 2012 The MathWorks, Inc. 1 Agenda 1. AMS 設計活用事例 I. ミックスドシグナルトップダウン設計 II. MATLAB/Simulinkの導入メリット

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

HEVの車両全体シミュレーションによるシステム最適化

HEVの車両全体シミュレーションによるシステム最適化 HEV の車両全体シミュレーションによるシステム最適化 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2016 The MathWorks, Inc. 1 マルチモードハイブリッド自動車 Engine Mode EV Mode SHEV Mode 1. Higuchi, N., Sunaga, Y., Tanaka, M., Shimada, H.:

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

PowerPoint Presentation

PowerPoint Presentation Simulink +Unreal Engine 連携による ADAS/ 自動運転シミュレーション環境 MathWorks Japan アプリケーションエンジニアリング部宮川浩 2015 The MathWorks, Inc. 1 Session Key Take Away MathWorks は 自動運転システム開発に必要な フルビークルモデルを提供します 3 自動車業界のメガトレンド Connectivity

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

DesignRangeCheckExpr Insertion Discussion

DesignRangeCheckExpr Insertion Discussion C プログラマーのための Simulink 活用講座 ~Simulink を使った開発に迷うエンジニアへ ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア渡辺修治 2012 The MathWorks, Inc. 1 ショータくんは 何に悩んでいるの? 制御ロジックを理解したいけど データーフローが見にくい コードの全体像が把握できない ショータくん

More information

物理モデリングツールによる モデリングとシミュレーション入門

物理モデリングツールによる モデリングとシミュレーション入門 Simscape TM による物理モデリング入門 ~Simscape + Sim シリーズの紹介 ~ MathWorks Japan アプリケーションエンジニア 渡辺修治 基本ツール MATLAB Simulink Stateflow 便利ツール Simulink Coder TM Simulink Control Design TM Simulink Design Optimization TM

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB EXPO 2018 Japan 無線モデム FPGA/SoC 開発における HDL Coder TM の活用事例 2018/10/30 NECネットワーク センサ株式会社技術開発本部通信ネットワーク技術部主任 / プロダクトスペシャリスト住田憲昭 1 NEC Network and Sensor Systems, LTD. 2018 目次 1. 会社紹介 / 自己紹介 2. 防衛事業の技術課題とHDL

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt ISO/IEC9126 & MISRA-C:2004 ベースソースコード品質診断 ~ MISRA-C:2004 ベース品質診断のご紹介 ~ 株式会社東陽テクニカソフトウェア ソリューション MISRA とは Motor Industry Software Reliability Association の略 ヨーロッパ自動車技術会 (MIRA) の下部組織 MIRA: Motor Industry

More information

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx MATLAB EXPO 2014 アルゴリズムと RTL を統合した 検証プラットフォームの 開発と適用 2014 年 10 月 29 日 NECプラットフォームズ ( 株 ) 福井祥布 MathWorks Japan 柴田克久 - アジェンダ - 1. はじめに NEC プラットフォームズ ( 株 ) の紹介 by 福井 モデルベースデザインによる FPGA/ASIC 開発 by 柴田 2. ソフトウエア無線の設計技術動向

More information

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc.

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. ロボットビジョンとは ロボットに搭載することを目的としたコンピュータービジョン技術の一分野 標識認識などさまざまな環境下での物体認識や複雑なシーンの理解 未知の領域を探索する際にロボット自身の位置推定と地図作成(SLAM)

More information

背景 1 / Reprinted with permission from paper c 2013 SAE International.

背景 1 / Reprinted with permission from paper c 2013 SAE International. 車載グラフィックメータ開発プロセス革新への挑戦 ~ REMO ZIPC による 3D HMI 開発事例 ~ 西川良一株式会社デンソー情報通信システム開発部 背景 1 / 17 2008 2009 2010 2011 2012 2013 Reprinted with permission from paper 2013-01 01-04250425 c 2013 SAE International.

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

Microsoft Visual Studio 2010 Professional Data Sheet

Microsoft Visual Studio 2010 Professional Data Sheet Microsoft Visual Studio 2010 Professional はビジネスの要件やユーザ ーのニーズに最適なアプリケーションを選択し それを構築するために必須の機能を提供します RIA ベースのリッチな Web アプリケーション SharePoint ベースの高度な Web ポータル Windows Azure ベースのクラウドアプリケーションなど 最新テクノロジに対応したアプリケーションを既存の知識や経験を活かして開発することができます

More information

PowerPoint Presentation

PowerPoint Presentation MATLAB による 大規模フリートデータ解析 アプリケーションエンジニアリング部齊藤甲次朗 2015 The MathWorks, Inc. 1 アジェンダ はじめに ビッグデータ解析の課題 MATLAB を活用したフリートデータ解析事例 フリートデータ解析実践 デスクトップでの解析 クラスターへのスケールアウト MATLAB 解析のシステムへの統合 まとめ 2 25 GB / 1hour 4 フリートデータ解析を含むビッグデータ解析の課題

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

サイバネットニュース No.115

サイバネットニュース No.115 Fall 2005 no.115 C O N T E N T S 1 2 2 3 4 4 5 5 6 7 8 9 10-11 12-14 15 16 CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE CAE BP 1 Just-in-TimeIT epiplex Epiance4.7 CAD/CAE ERPWindows

More information

Presentation Title

Presentation Title 並列計算 並列実行による高速化ソリューション MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア吉田剛士 2012 The MathWorks, Inc. 1 アジェンダ MATLAB R2012b ハイライト PCT / MDCS 新機能ハイライト Simulink プロダクトの並列化 まとめ 2 MATLAB R2012b のハイライト 1 新しいデスクトップ

More information

Presentation Title

Presentation Title 介護 福祉 医療機器開発におけるモデルベースデザイン MathWorks Japan Consulting Service 鳥居荘太 2016 The MathWorks, Inc. 1 MBD 成熟度 はじめに 本セッションのフォーカス MBD の効果確認済みのお客様 これから MBD を始めるお客様 すでに MBD 導入済みのお客様 システム開発経験有り / 無し 時間 2 アジェンダ 1. ソフトウェア開発と認証

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

MATLAB®製品紹介セミナー

MATLAB®製品紹介セミナー MATLAB における分類 パターン認識 - 入門編 - MathWorks Japan アプリケーションエンジニアリング部 ( テクニカルコンピューティング部 ) アプリケーションエンジニア大開孝文 2012 The MathWorks, Inc. 1 アジェンダ 回帰モデルと分類モデルについて 分類手法を使ったワインの品質モデリング まとめ 2 分類手法を使ったワインの品質モデリング アプローチ

More information

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~ MATLAB における並列 分散コンピューティング ~ Parallel Computing Toolbox & MATLAB Distributed Computing Server ~ MathWorks Japan Application Engineering Group Takashi Yoshida 2016 The MathWorks, Inc. 1 System Configuration

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

AMS Expoコンテンツ

AMS Expoコンテンツ 高速伝送路での信号特性の解析 ~ 新しい MATLAB /Simulink の使い方 ~ MathWorks Japan アプリケーションエンジニアリング部初井良治 2014 The MathWorks, Inc. 1 Demo MATLAB/Simulink 高速伝送特性シミュレーション DFE CDR ビット生成プリエンファシス伝送路特性データ 8B10Bエンコーダ アナログイコライザ 8B10B

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SPI Japan 2012 車載ソフトウェア搭載製品の 機能安全監査と審査 2012 年 10 月 11 日 パナソニック株式会社デバイス社 菅沼由美子 パナソニックのデバイス製品 SPI Japan 2012 2 パナソニック デバイス社のソフト搭載製品 車載スピーカーアクティブ消音アクティブ創音歩行者用警告音 スマートエントリー グローバルに顧客対応 ソフトウェア搭載製品 車載 複合スイッチパネル

More information

MAAB でのプレゼンテーション に関する提案

MAAB でのプレゼンテーション に関する提案 J-MAAB 2005 10 27 (1) OBD (2) (3) (4) OEM (5) MAAB J-MAAB 1. MBD (Model-Based Development) MBD Process 2. MAAB MAAB 3. J (Japan) -MAAB J-MAAB 4. J-MAAB WG WG 5. MBD Virtual World *SILS Rapid *HILS Real

More information

Tech Info share 2015 Q2 CDA Team 2

Tech Info share 2015 Q2 CDA Team 2 制 御 モデルを 活 用 した 量 産 / 組 み 込 みソフト 開 発 ソリューション MathWorks Japan アプリケーションエンジニアリング 部 ( 制 御 ) シニアアプリケーションエンジニア 山 本 順 久 2015 The MathWorks, Inc. 1 はじめに: 本 講 演 でお 話 しする 内 容 Simulinkモデルを 用 いた 量 産 / 組 み 込 み 制 御

More information

Presentation Title

Presentation Title データの本質を読み解くための機械学習 MATLAB でデータ解析の課題に立ち向かう MathWorks Japan アプリケーションエンジニア部アプリケーションエンジニア井原瑞希 2016 The MathWorks, Inc. 1 Buzzwords IoT 人工知能 / AI データ解析 ビッグデータ 2 データ解析ワークフロー データへのアクセスと探索 データの前処理 予測モデルの構築 システムへの統合

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

g2-soc-fpga-implementation-mw

g2-soc-fpga-implementation-mw HW/SW のパフォーマンス解析 最適化および協調設計 MathWorks Japan アプリケーションエンジニアリング部松本充史 2015 The MathWorks, Inc. 1 よくある質問 @ コード生成ツール 最適化された C/HDL コードが生成されますか? 各種アルゴリズム沢山詰め込みたい マルチコア CPU でマルチタスクのシミュレーションできますか? モータ制御における複数のタスク

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

IoT時代のアナリティクス ~センサーデータからの価値発見~

IoT時代のアナリティクス ~センサーデータからの価値発見~ IoT 時 代 のアナリティクス ~センサーデータからの 価 値 発 見 ~ MathWorks Japan アプリケーション エンジニアリング 部 (テクニカルコンピューティング) 部 長 大 谷 卓 也 2015 The MathWorks, Inc. 1 Agenda IoT 時 代 のセンサーデータ 解 析 ウェラブル インフラ 環 境 自 動 車 センサー 信 号 IoT / アナリティクス

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

メニーコア時代に向けた、 MBSE導入・活用促進の取り組み

メニーコア時代に向けた、 MBSE導入・活用促進の取り組み 2015 年 10 月 16 日 MATLAB EXPO 2015 ホテルグランパシフィック LE DAIBA 新世代医療機器開発に向けた MBD 導入 活用促進の取り組み オリンパス株式会社 VES 開発部 2G グループリーダオリンパスデジタルシステムデザイン株式会社取締役早稲田大学アドバンストマルチコアプロセッサ研究所招聘研究員 中野恵一 (k_nakano@ot.olympus.co.jp)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BRMS への取り組みと導入事例 2013 年 11 月 15 日 ( 金 ) SCSK 株式会社 IT エンジニアリング事業本部ミドルウェア部 本日の内容 BRMS 適用のポイント BRMS の可能性 Page 1 Page 2 アプリケーション連携基盤 SCSKのRed Hat JBoss / ミドルウェア技術に関する取り組みの取り組み 世界のオープンソース コミュニティーから製品化されたソフトウェア

More information

MX/RSシリーズご紹介

MX/RSシリーズご紹介 CHAdeMO 協議会第 27 回整備部会向け ドイツ comemso 社 続 :CHAdeMO 充電アナライザのご紹介 2016 年 12 月 株式会社東陽テクニカ 製品ご紹介 ( 第 26 回でのご紹介内容と重複 ) EV の充電 EV の充電はまだ比較的新しい技術 システムの組合せによっては充電が不安定に!? 電気の技術と通信の技術が必要!! 3 CHAdeMO 充電アナライザ CHAdeMo

More information

いまからはじめる、MATLABによる 画像処理・コンピュータビジョン

いまからはじめる、MATLABによる 画像処理・コンピュータビジョン いまからはじめる MATLAB による画像処理 コンピュータビジョン MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア大谷卓也 2012 The MathWorks, Inc. 1 Demo: 顔検出 画像から 人間の顔認識を行い 数のカウントを行う 2 Demo: MRI Analysis モンタージュ画像からの 3 次元構築 3 MATLAB/Simulink

More information

PowerPoint Resources

PowerPoint Resources 2009 The MathWorks, Inc. ET ロボコンを通じた MBD 人材育成 MathWorks Japan アドバンストサポート部 ET ロボコン 2009 実行委員会技術委員 / 性能審査委員 近政隆 背景 (1) 自動車業界における MBD の浸透 JMAAB(Japan MATLAB Automotive Advisory Board) と MathWorks の協調による開発手法

More information

Model-Based Calibration Toolbox 4.0

Model-Based Calibration Toolbox 4.0 Model-Based Calibration Toolbox 4.0 複雑なパワートレインシステムのキャリブレーション Model-Based Calibration ToolboxTM は 統計モデリングと数値最適化を使用して複雑なパワートレイン システムの最適なキャリブレーションを実行するための設計ツールを提供します 従来の手法では網 羅的なテストが必要となるような 複雑で自由度の高いエンジンに対して

More information

FA/MV用レンズマウント 標準化の最新動向

FA/MV用レンズマウント 標準化の最新動向 JIIA セミナー 2016 GenICam につながる照明機器 ~ 照明制御の新たな時代 ~ 2016 年 11 月 16 日 日本インダストリアルイメージング協会 照明分科会主査佐久間恒雄 ( キリンテクノシステム株式会社 ) マシンビジョンのグローバルな規格化活動 G3による規格化の取り組み 規格化を取り巻く環境 Industrie4.0~Embedded Vision~GenICam 照明規格の

More information

Microsoft PowerPoint プレス発表_(森川).pptx

Microsoft PowerPoint プレス発表_(森川).pptx ESEC2016 プレス発表 Safety&Security 両規格に準拠した 統合開発支援サービスを開始 2016 年 5 月 11 日株式会社ヴィッツ執行役員機能安全開発部部長森川聡久 本発表の概要 株式会社ヴィッツは 機能安全開発支援だけでなく 組込みセキュリティ開発も統合した開発支援サービスを開始しました 2 当社の主な実績 機能安全 プロセス認証取得 IEC61508:2010 SIL3

More information

PowerPoint Presentation

PowerPoint Presentation ETAS の AUTO ソリューションイータス株式会社 1 Public STJ2/ETAS 2014-01 ETAS GmbH 2014. All rights reserved, also regarding any disposal, exploitation, reproduction, editing, イータス株式会社会社概要 ETAS Driving Embedded Excellence

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx JAMA 電子情報フォーラム 2018 デジタルエンジニアリング プロセスの 一般社団法人 適用範囲拡大 電子制御情報の交換 本 動 業会 電子情報委員会デジタルエンジニアリング部会電子制御情報の交換タスクタスクリーダー : 菊地洋輔 2018 年 2 月 16 日 目次 1 活動の背景 2 活動のゴール 進め方 3 成果目標 4 活動計画 5 2017 年度の取り組み 6 2018 年度以降の取り組み

More information

Oracle Cloud Adapter for Oracle RightNow Cloud Service

Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service を使用すると RightNow Cloud Service をシームレスに接続および統合できるため Service Cloud プラットフォームを拡張して信頼性のある優れたカスタマ

More information

054_10モデルベースデザイン

054_10モデルベースデザイン 技術紹介 モデルベースデザインによる制御設計 石田修一 Ishida Shuuichi *1 近年 制御システムは高機能化や大規模化が急速に進み 従来の開発手法では開発費用の増加 開発期間の拡大 および品質の確保が困難となった 対して顧客からは 開発期間の短縮やコストダウンへの強い要求がある この現状を改善する方法として モデルベースデザイン (MBD:Model-Based Design) が自動車分野

More information

ISE 10.1 Editor Presentation

ISE 10.1 Editor Presentation デザイン ツールの最新版 ISE Design Suite 10.1 * この資料に記載されている会社名 製品名は 各社の登録商標または商標です 本日のニュース 1 常に業界をリードしてきた ISE デザイン ツール 2 デザイン ツールを取り巻く要因と業界の重要課題 3 ISE Design Suite 10.1 の紹介 4 まとめ ISE Design Suite 10.1 2 ザイリンクスのデザイン

More information

MATLAB EXPO 2014 Dry Run 0 モデル検証&Polyspace

MATLAB EXPO 2014 Dry Run 0 モデル検証&Polyspace Polyspace によるソフト不具合修正のフロントローディング MathWorks Japan Application Engineering アプリケーションエンジニアリング部 Application Engineer アプリケーションエンジニア Fred Noto 能戸フレッド 2014 The MathWorks, Inc. 1 Polyspace の静的解析ソリューション Polyspace

More information

Oracle SQL Developerの移行機能を使用したOracle Databaseへの移行

Oracle SQL Developerの移行機能を使用したOracle Databaseへの移行 < ここに画像を挿入 > Oracle SQL Developer の移行機能を使用した Oracle Database への移行 以下の事項は 弊社の一般的な製品の方向性に関する概要を説明するものです また 情報提供を唯一の目的とするものであり いかなる契約にも組み込むことはできません 以下の事項は マテリアルやコード 機能を提供することをコミットメント ( 確約 ) するものではないため 購買決定を行う際の判断材料になさらないで下さい

More information

Using VectorCAST/C++ with Test Driven Development

Using VectorCAST/C++ with Test Driven Development ホワイトペーパー V2.0 2018-01 目次 1 はじめに...3 2 従来型のソフトウェア開発...3 3 テスト主導型開発...4 4...5 5 TDD を可能にするテストオートメーションツールの主要機能...5 5.1 テストケースとソースコード間のトレーサビリティー...5 5.2 テストケースと要件間のトレーサビリティー...6 6 テスト主導型開発の例...7 2 1 はじめに 本書では

More information

PARTⅢ 検証事例 2. トレーサビリティ管理の自動化に踏み切った理由や経緯 (1) 国際スタンダード認証に関する課題 ISO DO-178B/C IEC などの国際スタンダードでは 開発工程全般にわたって要件が満たされていること ( システムの正しい要件が 正しい方法で

PARTⅢ 検証事例 2. トレーサビリティ管理の自動化に踏み切った理由や経緯 (1) 国際スタンダード認証に関する課題 ISO DO-178B/C IEC などの国際スタンダードでは 開発工程全般にわたって要件が満たされていること ( システムの正しい要件が 正しい方法で 先進的な設計 検証技術の適用事例報告書 2015 年度版 PARTⅢ 検証事例 SEC-2015-B-3-01 15-B-3 国際スタンダード認証に求められる 要件から検証結果までのトレーサビリティ管理 の効率化の取組み 1 1. 概要 安全性が求められるシステムのソフトウェアに対する規格である ISO 26262( 自動車安全規格 ) DO-178B/C( 航空システムや装置の安全規格 ) IEC

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 ISO26262 ISO26262 Contents ISO26262 ECU ECU Copyright Hitachi Automotive Systems, Ltd. All rights reserved

CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 ISO26262 ISO26262 Contents ISO26262 ECU ECU Copyright Hitachi Automotive Systems, Ltd. All rights reserved CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 2012-1 Contents ECU ECU 会社概要 日立の自動車機器事業は 1930 1930 年自動車用電装品の国産化から開始 2009 2009 年 7 月 : 日立製作所から分社 日立オートモティブシステムズ 商号 事業内容 代表者 日立オートモティブシステムズ株式会社 ( 英文名 :Hitachi Automotive

More information

d4-automated-driving-mw

d4-automated-driving-mw ADAS 自動運転アルゴリズム検証のためのシナリオ生成とシミュレーション アプリケーションエンジニアリング部大塚慶太郎 2015 The MathWorks, Inc. 1 ADAS 自動運転システムの開発 with MATLAB and Simulink 統合シミュレーション 認識 プランニング 制御 2 ADAS 自動運転 : 運転シナリオの定義 with MATLAB and Simulink

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Presentation Title

Presentation Title 次世代 Simulink が導入する 新 MBD 環境 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア山本順久 2012 The MathWorks, Inc. 1 これまでの歴史 5 年ぶりのメジャーバージョンアップ! 2002 R13 Simulink Stateflow 5.0 2004 R14 Simulink Stateflow 6.0

More information

タイトル

タイトル 生活支援ロボット HSR 向け 自律動作アプリケーション開発 アプリケーションエンジニアリング部木川田亘 2015 The MathWorks, Inc. 1 実演 :HSR による あいさつ アプリケーションの開発 2 アジェンダ 自律ロボット開発における MATLAB ROS を使った HSR との連携ワークフロー まとめ 3 アジェンダ 自律ロボット開発における MATLAB ROS を使った

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

PowerPoint Presentation

PowerPoint Presentation 第 18 回 Autodesk Moldflow ライブヘルプ What s New Autodesk Moldflow 2018 オートデスク株式会社 プロダクトサポート Autodesk Moldflow ライブヘルプ Web 会議システムによる 気軽に参加いただく 1 時間のサポートセッション 目的 多くのユーザ様を直接ヘルプできる 直接フィードバックを頂くことができる (Q&A セッション

More information

スライド 1

スライド 1 14 th ESEC@ 東京国際展示場 ( ビッグサイト ) JMAAB(Japan Matlab Automotive Advisory Board) の活動 ~ モデルベース開発技術 の推進と人材育成 ~ 2011 年 5 月 11 日 大畠明 JMAAB トヨタ自動車株式会社 JMAAB とは? JMAAB (Japan MATLAB Automotive Advisory Board) は国内の自動車

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化

どのような便益があり得るか? より重要な ( ハイリスクの ) プロセス及びそれらのアウトプットに焦点が当たる 相互に依存するプロセスについての理解 定義及び統合が改善される プロセス及びマネジメントシステム全体の計画策定 実施 確認及び改善の体系的なマネジメント 資源の有効利用及び説明責任の強化 ISO 9001:2015 におけるプロセスアプローチ この文書の目的 : この文書の目的は ISO 9001:2015 におけるプロセスアプローチについて説明することである プロセスアプローチは 業種 形態 規模又は複雑さに関わらず あらゆる組織及びマネジメントシステムに適用することができる プロセスアプローチとは何か? 全ての組織が目標達成のためにプロセスを用いている プロセスとは : インプットを使用して意図した結果を生み出す

More information

スライド 1

スライド 1 SPI Japan 2013 in 東京 Software Product Line の実践 ~ テスト資産の構築 ~ 住友電工情報システム株式会社 QCD 改善推進部品質改善推進グループ服部悦子 2013.10.17 P.1/24 目次 1. テスト資産構築に至る背景 2. テスト資産の構築 ~ 自動テストの実現 ~ 3. 結果と評価 P.2/24 テスト資産構築に至る 背景 P.3/24 背景

More information

CDM Studio

CDM Studio プロダクトインフォメーション 目次 概要... 3 1.1 はじめに... 3 1.2 機能概要... 4 1.3 応用分野... 5 1.4 システム要件... 5 機能... 5 サポートするファイル形式... 6 チームによるキャリブレーションデータの管理... 6 のバージョン 14.0 以降を対象としています V2.0 5/2016 2 概要 1.1 はじめに機能のアルゴリズムは ECU

More information

MATLAB/Simulinkによる無人航空機の設計・開発

MATLAB/Simulinkによる無人航空機の設計・開発 MATLAB /Simulink による無人航空機の設計 開発 MathWorks Japan Application Engineering Application Engineer 能戸フレッド Fred Noto 2017 The MathWorks, Inc. 1 無人航空機の進化 2 Model-Based Design はじめに Platform Sense 無人航空機 (UAV) はますます普及

More information

機能安全に必要なトレーサビリティとは

機能安全に必要なトレーサビリティとは TERAS 第 1 回成果報告会 機能安全に必要な トレーサビリティとは 2012 年 3 月 19 日 TERAS 技術委員会 株式会社ヴィッツ組込制御開発部機能安全開発室 森川聡久 IEC61508 プロセス認証 本日の内容 機能安全認証の取得に際してトレーサビリティをどのように役立てるのかを実体験から紹介します 1. ヴィッツの会社紹介 2.TERASにおけるヴィッツの役割 3. 機能安全に必要なトレーサビリティ要件

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

reply_letter

reply_letter 条件付採録に対する回答文 投稿論文番号 :2012JDP7055 ご査読に際し, 貴重なご指摘とご意見を頂きありがとうございました. 採録条 件に対する回答と, 採録条件を満たすために, 投稿論文を加筆, 修正した点に ついて, ご説明致します. 採録条件 本論文では, 下記の点について新規性が主張されています. Nov1) タスク処理内容をプログラム形式で抽象的に記述することにより, 条件分岐や繰返しを含むような処理時間が変動するようなアプリケーションに対するシミュレーションを可能にしている.

More information

1 2 3 2 1 3 4 5 6 7 2 8 9 10 11 12 3 13 DMP は III との連携により 中国 台湾の SoC 顧客に対し共同でグラフィックスコアの販売を うほか グラフィックスコアを搭載した顧客製品を短期間で開発するためのソリューションを提供します OpenCL などの API を策定するコンソーシアム ( 標準化団体 ) であるクロノス グループからリリースされた最新の

More information

AI技術の紹介とセンサーデータ解析への応用

AI技術の紹介とセンサーデータ解析への応用 AI を活用したセンサーデータ解析 MathWorks Japan アプリケーションエンジニアリンググループアプリケーションエンジニア吉田剛士 2018 The MathWorks, Inc. 1 AI を活用したセンサーデータ解析 11:20-11:50 MATLAB による AI 作成 アプリを使った簡易的な解析 学習モデルのパラメータ自動調整 学習モデルのスタンドアロン化 2 課題 : ターボファンエンジンの予知保全

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

自動車開発におけるビッグデータ / クラウド時代のデータ解析

自動車開発におけるビッグデータ / クラウド時代のデータ解析 自動車開発におけるビッグデータ / クラウド時代のデータ解析 MathWorks Japan アプリケーションエンジニアリング部テクニカルコンピューティング 吉田剛士 2015 The MathWorks, Inc. 1 Agenda Introduction デモンストレーション Data Summary on Amazon Redshift Triggered Data Capturing &

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx PLCopen / OPC UA 通信仕様と PLCopen XML の紹介 ~PLC プログラムの生産システムエンジニアリング連携に向けて ~ Industrial Automation Forum - Forum 2015 PLCopen Japan Page 1 PLCopen の主な取り組み PLCopen は IEC 61131-3 プログラミングの普及促進と関連技術仕様の策定を う 営利団体

More information

新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1

新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1 新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1 自己紹介 MathWorks 照井雄佳 ヤマハ発動機迫田茂穂様 トレーニングエンジニア 5 年間 ヤマハ発動機の新入社員教育を担当 研究職 ( システム領域 ) MathWorks と社内向け制御教育の構築 2 本日 伝えたいこと

More information

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ VHDL/Verilog によるコードベースから Simulink によるモデルベースへ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 2012 The MathWorks, Inc. 1 出展 : 日本経済新聞 2012/7/3 記事 2 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い

More information