Size: px
Start display at page:

Download ""

Transcription

1

2 ? FPGA FPGA FPGA : : :

3 ? ( ) (FFT) ( ) (Localization)

4 ? : ( )

5 ? : LU Ax = b LU : Ax = x 1 x 2 x 3 = x = LUx = b : y Ux Ly = b Ux = y (Matrix) Local ( )

6 ? : F = W W 2 W N 1 1 W 2 W 4 W 2N W N 1 W 2N 2 W (N 1)(N 1) O(n 2 ) O(n log n), W n = 1

7 ? : : CPU : L, C, R OP,.. :

8 ? Problem Structure Operation Algorithm Hardware Topology Dynamics : : CPU :

9 ? CPU Memory Instruction/Data Bus : : (Field Programmable Gate Array) ( )

10 FPGA? FPGA FPGA FPGA FPGA

11 FPGA : CMOS CMOS MOS A A A A NAND(A,B) B NOR(A,B) B Inverter NAND NOR

12 PLA X FPGA PLA (Programmable Logic Arrays) AND OR A B C D X=AB+ACD

13 FPGA FPGA LUT(Look Up Table) A B LUT (SRAM) NAND(A,B) AB 1 A B AB AB 1 1 OUT CMOS NAND AB 0 LUT

14 FPGA FPGA Logic Cell[LUT( ), FF( )] Matrix Logic Cell Logic Cell Logic Cell Logic Cell SW Logic Cell Logic Cell Logic Cell SW SW Logic Cell Logic Cell Logic Cell Logic Cell SW

15 FPGA 1. (Hardware Description Language) FPGA FPGA 5. FPGA

16 FPGA HDL (Hardware Description Language) HDL: Verilog-HDL, VHDL, SFL : 4 Verilog module count(out, ck); output [3:0] out; input ck; reg [3:0] q; ck) begin q <= q+1; end assign out = q; endmodule

17 FPGA HDL (VCS ) module testcount; wire [3:0] out; reg ck; initial begin ck <= 0; #200 $finish; end always #10 begin ck <= ck; end count inst0 (out, ck); endmodule ck out[3] out[2] out[1] out[0]

18 FPGA (FPGA Express ) FPGA FPGA JTAG ROM

19 FPGA PCI PCI FPGA CPU Memory PCI Bridge FPGA board

20 FPGA FPGA 1 1 ( )

21 FPGA 1 FPGA CPU FPGA CPU

22 FPGA Web

23 ? FPGA

24 integers binary codes Gray codes

25 1 1 2

26 x B= y =0.... B x G= y G= bit 3 bit 2 bit 1 bit 3 bit 2 bit bit 0 bit 0 0 1/4 1/2 3/4 1 Binary code 2 0 1/4 1/2 3/4 1 Gray code

27 ( )

28 a b G G Gray Code Adder.1000 c G 0.1 [0.1, 0.2]

29 2 1 2

30 Why Gray codes? 1/2 [ 1 X = 2 ε 1, 1 ] 2 + ε 2, ε 1,ε 2 > 0 X B=0. X G= bit 3 bit 2 bit 1 bit 3 bit 2 bit bit 0 bit 0 0 1/4 1/2 3/4 1 Binary code 1 0 1/4 1/2 3/4 1 Gray code 1

31 x G x G X = [X, X] = [x 1, x + 1] 2 n x x : (integer), n x : (integer) x = 0. G left 0 1/4 1/2 3/4 1 right center x G= 0.0 x G= 0. 1 x G= /4 1/2 3/ /4 1/2 3/ /4 1/2 3/4 1

32 a G b G = c G a G A, b G B c G C A B C A B A B C a G, b G = A, B = A B C = c G

33 d, d ( ) d = 2 n c A B C ( ) d = 2 n c C A B C d2 -n c A B d2 -n c A B A B, C d, d C A B conditions c G d d d 1, left 1 := P c d := 2d d := 2(d 1) d 1, right 1 := P c d := 2(d 1) d := 2d d 1 / 2 d 1 / 2, center 2 := 1, 0 d := 2(d 1 / 2 ) d := 2(d 1 / 2 ) P c = i b 1 j= c j (i b = 1 ) C

34 ? FPGA

35 : : xy = 1 y = 1/x : x 2 + y 2 2 = 0, xy 1 = 0 x 4 + 2x 2 1 = 0, x 3 2x + y = 0

36 Ax = y x y y x a 11 a 12 a 1n a 21 a 22 a 2n.... a n1 a n2 a nn x 1 x 2. x n = y 1 y 2. y n LU L 1 u 11 u 12 u 1n 0 a 22 u 2n u nn x 1 x 2. x n = l l 21 l l n1 l n2 l nn y 1 y 2. y n x y y x

37 y(t) = t 0 g(t τ)x(τ)dτ x(t) y(t) y(t) x(t) Y(s) = G(s)X(s), G(s) 1 Y(s) = X(s) X(s) Y(s) Y(s) X(s)?

38 F(s) = = 0 0 f (t)e st dt f (t)e at e jωt dt f (t) e at (s = a + jω) :?

39 f (t) = L 1 [F(s)](t) = 1 2πj a+j a j F(s)e st ds s:, f (t):, F(s): = Bromwich ε FFT

40 1/ s f N (t) FFT-Based square wave f N (t) FFT-Based 1/ πt F(s) = t 1 s(1 + e 0.1s ) F(s) = 1 s t

41 F(s) G(s) t F(s) G(s) FFT-Based g N(hn) T T -1 inversion ^ N f (hn) T s T 1 t

42 s f (t) = L 1 [F(s)] = L 1 [s i F(s) s i ] = di dt i L 1 [ F(s) s i ] = s i + t i s domain t domain Conventional method F(s) FFT-Based inversion f (hn) N Proposed method F(s) 1 si i-th integral G(s) FFT-Based inversion g N (hn) i d dt i-th differential i ^ N f (hn)

43 1/ s f N (t) FFT-Based square wave Proposed (i=4) f N (t) FFT-Based 1/ πt Proposed (i=4) F(s) = t 1 s(1 + e 0.1s ) F(s) = 1 s t

44 ? FPGA

45 (SFQ)

46 A A B B A B A B A B

47 : z = f (w 1 x 1 + w 2 x 2 + w n x n θ) x x x 1 2 w w w 3 3 θ x n 2 w n 1 z 1 0 f

48

49 (CML) A OUT OUT B A B CML ExOR

50 (Exp ) O1 O2 X1 X2 Y1 Y2

51 : (TDM, FDM, CDM, SDM,...) : FPGA V(t) A B C D 0 90 V(t) A B C D

52 ? :? A NOT B A B AND C A B OR C NOT A B AND A B C OR A B C

53 ? :? CMOS : : IN OUT IN / OUT OUT / IN CMOS inverter Pass-transistor

54 ? a + b = c. a b, c = a + b b c, a = c b

55 A B A B A B A B C A B C A B C

56 AND A B AND C Z (a) (b) (c) Input Input Output Input Input Output Input Input Output A B C A C B B C A Z Z Z Z Z Z Z Z Z Z 0 0 Z 0 Z Z 0 Z Z 1 Z Z 1 1 Z Z 0 0 Z Z 0 Z Z Z 0 0 Z Z Z 1 Z Z 1 Z Z

57 2 : X=1 X=0 (X,X)=(1,0) (X,X)=(0,1). : (X,X) = (0,0). A B A B : IN / OUT OUT / IN

58 Expression of the unfixed bit Two-way circuit 0, 1, Z OUT OUT open pull down (V) OUT OUT Z 0 0

59 ExOR A =0 B C A=1 B C A, B and C Gate control Signal flow B B B B A A BBCC A A A A C C C C B C C ExOR B (a) (b) Input Input Output A A B B C C

60 AND A = 1 B C A = 0 A C C=1, A, B A A B B C C A A B B C AND C

61 A, B, C, S and C +. A, C, S, B. C+ C+ carry out a n b n FA(n) s n+1 sn c2 A A B B Reversible ExOR C C Reversible ExOR carry in sum S S a 1 b1 a 0 b 0 FA(1) c 1 FA(0) c 0 = 0 s 1 s 0 n-bit

62 2 2bit c 0 = a 0 b 0, c 1 = a 1 b 0 a 0 b 1, c 2 = a 1 b 1 (a 1 b 0 a 0 b 1 ), c 3 = a 1 b 1 a 1 b 0 a 0 b 1. A a 1 a 0 B b 1 b 0 Two-way AND a 1 b 1 a 0 b 1 a 1 b 0 a 0 b 0 Reversible ExOR 2 2 bit Reversible ExOR buffer C c 3 c 2 c 1 c 0 carry out carry out augend FA sum augend HA sum carry in addend addend a 2 b 2 FA a 1 b 2 FA HA a 2 b 1 a 0 b 2 a 0 b 1 a 0 b 0 a 1 b HA 1 FA a 2 b 0 HA a 1 b 0 c 5 c 4 c 3 c 2 c 1 c bit

63

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10

2.5. Verilog 19 Z= X + Y - Z A+B LD ADD SUB ST (X<<1)+(Y<<1) X 1 2 LD SL ST 2 10 2.5. Verilog 19 Z= X + Y - Z A+B LD 0 0001 0000 ADD 1 0110 0001 SUB 2 0111 0010 ST 2 1000 0010 (X

More information

untitled

untitled Verilog HDL Verilog HDL VerilogHDL veriloghdl / CPLD , 1bit 2 MUX 5 D,E) always) module MUX(out, a, b, sel); output out; input a, b, sel; A) IF module MUX(out, a, b, sel); output out; input a, b, sel;

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

VLD Kazutoshi Kobayashi

VLD Kazutoshi Kobayashi VLD Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2005 8 26-29 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape

More information

曲面のパラメタ表示と接線ベクトル

曲面のパラメタ表示と接線ベクトル L11(2011-07-06 Wed) :Time-stamp: 2011-07-06 Wed 13:08 JST hig 1,,. 2. http://hig3.net () (L11) 2011-07-06 Wed 1 / 18 ( ) 1 V = (xy2 ) x + (2y) y = y 2 + 2. 2 V = 4y., D V ds = 2 2 ( ) 4 x 2 4y dy dx =

More information

1 No.1 5 C 1 I III F 1 F 2 F 1 F 2 2 Φ 2 (t) = Φ 1 (t) Φ 1 (t t). = Φ 1(t) t = ( 1.5e 0.5t 2.4e 4t 2e 10t ) τ < 0 t > τ Φ 2 (t) < 0 lim t Φ 2 (t) = 0

1 No.1 5 C 1 I III F 1 F 2 F 1 F 2 2 Φ 2 (t) = Φ 1 (t) Φ 1 (t t). = Φ 1(t) t = ( 1.5e 0.5t 2.4e 4t 2e 10t ) τ < 0 t > τ Φ 2 (t) < 0 lim t Φ 2 (t) = 0 1 No.1 5 C 1 I III F 1 F 2 F 1 F 2 2 Φ 2 (t) = Φ 1 (t) Φ 1 (t t). = Φ 1(t) t = ( 1.5e 0.5t 2.4e 4t 2e 10t ) τ < 0 t > τ Φ 2 (t) < 0 lim t Φ 2 (t) = 0 0 < t < τ I II 0 No.2 2 C x y x y > 0 x 0 x > b a dx

More information

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated

MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated 1 -- 7 6 2011 11 1 6-1 MOSFET 6-2 CMOS 6-2 TTL Transistor Transistor Logic ECL Emitter Coupled Logic I2L Integrated Injection Logic 6-3 CMOS CMOS NAND NOR CMOS 6-4 6-5 6-1 6-2 CMOS 6-3 6-4 6-5 c 2011 1/(33)

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T341 コンピュータ論理設計 Computer Logic Design 10. シングルサイクルプロセッサのデータパス Datapath for Single Cycle Processor 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

2014.3.10 @stu.hirosaki-u.ac.jp 1 1 1.1 2 3 ( 1) x ( ) 0 1 ( 2)NOT 0 NOT 1 1 NOT 0 ( 3)AND 1 AND 1 3 AND 0 ( 4)OR 0 OR 0 3 OR 1 0 1 x NOT x x AND x x OR x + 1 1 0 x x 1 x 0 x 0 x 1 1.2 n ( ) 1 ( ) n x

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

IA hara@math.kyushu-u.ac.jp Last updated: January,......................................................................................................................................................................................

More information

214 March 31, 214, Rev.2.1 4........................ 4........................ 5............................. 7............................... 7 1 8 1.1............................... 8 1.2.......................

More information

S I. dy fx x fx y fx + C 3 C vt dy fx 4 x, y dy yt gt + Ct + C dt v e kt xt v e kt + C k x v k + C C xt v k 3 r r + dr e kt S Sr πr dt d v } dt k e kt

S I. dy fx x fx y fx + C 3 C vt dy fx 4 x, y dy yt gt + Ct + C dt v e kt xt v e kt + C k x v k + C C xt v k 3 r r + dr e kt S Sr πr dt d v } dt k e kt S I. x yx y y, y,. F x, y, y, y,, y n http://ayapin.film.s.dendai.ac.jp/~matuda n /TeX/lecture.html PDF PS yx.................................... 3.3.................... 9.4................5..............

More information

Gmech08.dvi

Gmech08.dvi 63 6 6.1 6.1.1 v = v 0 =v 0x,v 0y, 0) t =0 x 0,y 0, 0) t x x 0 + v 0x t v x v 0x = y = y 0 + v 0y t, v = v y = v 0y 6.1) z 0 0 v z yv z zv y zv x xv z xv y yv x = 0 0 x 0 v 0y y 0 v 0x 6.) 6.) 6.1) 6.)

More information

1.1 1 A

1.1 1 A . A..2 2 2. () (xyz) ( xyz) ( xy z) = (x x)yz ( xy z) = yz ( xy z) = y(z ( x z)) = y((z x)(z z)) = y( x z) (2) (3) M aj (x, y, M aj ( x, ȳ, z)) = xy ȳm aj ( x, ȳ, z) M aj ( x, ȳ, z)x M aj (x, y, z) x =

More information

A (1) = 4 A( 1, 4) 1 A 4 () = tan A(0, 0) π A π

A (1) = 4 A( 1, 4) 1 A 4 () = tan A(0, 0) π A π 4 4.1 4.1.1 A = f() = f() = a f (a) = f() (a, f(a)) = f() (a, f(a)) f(a) = f 0 (a)( a) 4.1 (4, ) = f() = f () = 1 = f (4) = 1 4 4 (4, ) = 1 ( 4) 4 = 1 4 + 1 17 18 4 4.1 A (1) = 4 A( 1, 4) 1 A 4 () = tan

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

S I. dy fx x fx y fx + C 3 C dy fx 4 x, y dy v C xt y C v e kt k > xt yt gt [ v dt dt v e kt xt v e kt + C k x v + C C k xt v k 3 r r + dr e kt S dt d

S I. dy fx x fx y fx + C 3 C dy fx 4 x, y dy v C xt y C v e kt k > xt yt gt [ v dt dt v e kt xt v e kt + C k x v + C C k xt v k 3 r r + dr e kt S dt d S I.. http://ayapin.film.s.dendai.ac.jp/~matuda /TeX/lecture.html PDF PS.................................... 3.3.................... 9.4................5.............. 3 5. Laplace................. 5....

More information

213 March 25, 213, Rev.1.5 4........................ 4........................ 6 1 8 1.1............................... 8 1.2....................... 9 2 14 2.1..................... 14 2.2............................

More information

No δs δs = r + δr r = δr (3) δs δs = r r = δr + u(r + δr, t) u(r, t) (4) δr = (δx, δy, δz) u i (r + δr, t) u i (r, t) = u i x j δx j (5) δs 2

No δs δs = r + δr r = δr (3) δs δs = r r = δr + u(r + δr, t) u(r, t) (4) δr = (δx, δy, δz) u i (r + δr, t) u i (r, t) = u i x j δx j (5) δs 2 No.2 1 2 2 δs δs = r + δr r = δr (3) δs δs = r r = δr + u(r + δr, t) u(r, t) (4) δr = (δx, δy, δz) u i (r + δr, t) u i (r, t) = u i δx j (5) δs 2 = δx i δx i + 2 u i δx i δx j = δs 2 + 2s ij δx i δx j

More information

I, II 1, A = A 4 : 6 = max{ A, } A A 10 10%

I, II 1, A = A 4 : 6 = max{ A, } A A 10 10% 1 2006.4.17. A 3-312 tel: 092-726-4774, e-mail: hara@math.kyushu-u.ac.jp, http://www.math.kyushu-u.ac.jp/ hara/lectures/lectures-j.html Office hours: B A I ɛ-δ ɛ-δ 1. 2. A 1. 1. 2. 3. 4. 5. 2. ɛ-δ 1. ɛ-n

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

9. 05 L x P(x) P(0) P(x) u(x) u(x) (0 < = x < = L) P(x) E(x) A(x) P(L) f ( d EA du ) = 0 (9.) dx dx u(0) = 0 (9.2) E(L)A(L) du (L) = f (9.3) dx (9.) P

9. 05 L x P(x) P(0) P(x) u(x) u(x) (0 < = x < = L) P(x) E(x) A(x) P(L) f ( d EA du ) = 0 (9.) dx dx u(0) = 0 (9.2) E(L)A(L) du (L) = f (9.3) dx (9.) P 9 (Finite Element Method; FEM) 9. 9. P(0) P(x) u(x) (a) P(L) f P(0) P(x) (b) 9. P(L) 9. 05 L x P(x) P(0) P(x) u(x) u(x) (0 < = x < = L) P(x) E(x) A(x) P(L) f ( d EA du ) = 0 (9.) dx dx u(0) = 0 (9.2) E(L)A(L)

More information

( ) ( )

( ) ( ) 20 21 2 8 1 2 2 3 21 3 22 3 23 4 24 5 25 5 26 6 27 8 28 ( ) 9 3 10 31 10 32 ( ) 12 4 13 41 0 13 42 14 43 0 15 44 17 5 18 6 18 1 1 2 2 1 2 1 0 2 0 3 0 4 0 2 2 21 t (x(t) y(t)) 2 x(t) y(t) γ(t) (x(t) y(t))

More information

impulse_response.dvi

impulse_response.dvi 5 Time Time Level Level Frequency Frequency Fig. 5.1: [1] 2004. [2] P. A. Nelson, S. J. Elliott, Active Noise Control, Academic Press, 1992. [3] M. R. Schroeder, Integrated-impulse method measuring sound

More information

keisoku01.dvi

keisoku01.dvi 2.,, Mon, 2006, 401, SAGA, JAPAN Dept. of Mechanical Engineering, Saga Univ., JAPAN 4 Mon, 2006, 401, SAGA, JAPAN Dept. of Mechanical Engineering, Saga Univ., JAPAN 5 Mon, 2006, 401, SAGA, JAPAN Dept.

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

II Karel Švadlenka * [1] 1.1* 5 23 m d2 x dt 2 = cdx kx + mg dt. c, g, k, m 1.2* u = au + bv v = cu + dv v u a, b, c, d R

II Karel Švadlenka * [1] 1.1* 5 23 m d2 x dt 2 = cdx kx + mg dt. c, g, k, m 1.2* u = au + bv v = cu + dv v u a, b, c, d R II Karel Švadlenka 2018 5 26 * [1] 1.1* 5 23 m d2 x dt 2 = cdx kx + mg dt. c, g, k, m 1.2* 5 23 1 u = au + bv v = cu + dv v u a, b, c, d R 1.3 14 14 60% 1.4 5 23 a, b R a 2 4b < 0 λ 2 + aλ + b = 0 λ =

More information

, 3, 6 = 3, 3,,,, 3,, 9, 3, 9, 3, 3, 4, 43, 4, 3, 9, 6, 6,, 0 p, p, p 3,..., p n N = p p p 3 p n + N p n N p p p, p 3,..., p n p, p,..., p n N, 3,,,,

, 3, 6 = 3, 3,,,, 3,, 9, 3, 9, 3, 3, 4, 43, 4, 3, 9, 6, 6,, 0 p, p, p 3,..., p n N = p p p 3 p n + N p n N p p p, p 3,..., p n p, p,..., p n N, 3,,,, 6,,3,4,, 3 4 8 6 6................................. 6.................................. , 3, 6 = 3, 3,,,, 3,, 9, 3, 9, 3, 3, 4, 43, 4, 3, 9, 6, 6,, 0 p, p, p 3,..., p n N = p p p 3 p n + N p n N p p p,

More information

2 1 x 1.1: v mg x (t) = v(t) mv (t) = mg 0 x(0) = x 0 v(0) = v 0 x(t) = x 0 + v 0 t 1 2 gt2 v(t) = v 0 gt t x = x 0 + v2 0 2g v2 2g 1.1 (x, v) θ

2 1 x 1.1: v mg x (t) = v(t) mv (t) = mg 0 x(0) = x 0 v(0) = v 0 x(t) = x 0 + v 0 t 1 2 gt2 v(t) = v 0 gt t x = x 0 + v2 0 2g v2 2g 1.1 (x, v) θ 1 1 1.1 (Isaac Newton, 1642 1727) 1. : 2. ( ) F = ma 3. ; F a 2 t x(t) v(t) = x (t) v (t) = x (t) F 3 3 3 3 3 3 6 1 2 6 12 1 3 1 2 m 2 1 x 1.1: v mg x (t) = v(t) mv (t) = mg 0 x(0) = x 0 v(0) = v 0 x(t)

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

橡卒論.PDF

橡卒論.PDF 1329 1 1 2 2 2.1 2 2.1.1 1 2 2.1.2 2 4 2.1.3 3 6 2.2 8 2.2.1 8 2.2.2 12 2.3Booth 13 3 16 3.1 16 3.1.1Robertson 16 3.1.2Booth 18 3.2 19 3.2.1 19 3.2.2Wallace tree 21 3.3 25 3.4 27 3.4.1 4 27 3.4.22 Booth

More information

211 kotaro@math.titech.ac.jp 1 R *1 n n R n *2 R n = {(x 1,..., x n ) x 1,..., x n R}. R R 2 R 3 R n R n R n D D R n *3 ) (x 1,..., x n ) f(x 1,..., x n ) f D *4 n 2 n = 1 ( ) 1 f D R n f : D R 1.1. (x,

More information

1 nakayama/print/ Def (Definition ) Thm (Theorem ) Prop (Proposition ) Lem (Lemma ) Cor (Corollary ) 1. (1) A, B (2) ABC

1   nakayama/print/ Def (Definition ) Thm (Theorem ) Prop (Proposition ) Lem (Lemma ) Cor (Corollary ) 1. (1) A, B (2) ABC 1 http://www.gem.aoyama.ac.jp/ nakayama/print/ Def (Definition ) Thm (Theorem ) Prop (Proposition ) Lem (Lemma ) Cor (Corollary ) 1. (1) A, B (2) ABC r 1 A B B C C A (1),(2),, (8) A, B, C A,B,C 2 1 ABC

More information

LSI LSI 2

LSI LSI 2 LSI LSI 2 P=CV 2 F 3 4 5 EDA Electric Design Automation) LSI CAD Computer Aided Design) Verilog Verify Logic VHDL VHSIC Description Language) SystemC C SFL Structured Functional description Language) NTT

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

meiji_resume_1.PDF

meiji_resume_1.PDF β β β (q 1,q,..., q n ; p 1, p,..., p n ) H(q 1,q,..., q n ; p 1, p,..., p n ) Hψ = εψ ε k = k +1/ ε k = k(k 1) (x, y, z; p x, p y, p z ) (r; p r ), (θ; p θ ), (ϕ; p ϕ ) ε k = 1/ k p i dq i E total = E

More information

pdf

pdf http://www.ns.kogakuin.ac.jp/~ft13389/lecture/physics1a2b/ pdf I 1 1 1.1 ( ) 1. 30 m µm 2. 20 cm km 3. 10 m 2 cm 2 4. 5 cm 3 km 3 5. 1 6. 1 7. 1 1.2 ( ) 1. 1 m + 10 cm 2. 1 hr + 6400 sec 3. 3.0 10 5 kg

More information

7. y fx, z gy z gfx dz dx dz dy dy dx. g f a g bf a b fa 7., chain ule Ω, D R n, R m a Ω, f : Ω R m, g : D R l, fω D, b fa, f a g b g f a g f a g bf a

7. y fx, z gy z gfx dz dx dz dy dy dx. g f a g bf a b fa 7., chain ule Ω, D R n, R m a Ω, f : Ω R m, g : D R l, fω D, b fa, f a g b g f a g f a g bf a 9 203 6 7 WWW http://www.math.meiji.ac.jp/~mk/lectue/tahensuu-203/ 2 8 8 7. 7 7. y fx, z gy z gfx dz dx dz dy dy dx. g f a g bf a b fa 7., chain ule Ω, D R n, R m a Ω, f : Ω R m, g : D R l, fω D, b fa,

More information

sikepuri.dvi

sikepuri.dvi 2009 2 2 2. 2.. F(s) G(s) H(s) G(s) F(s) H(s) F(s),G(s) H(s) : V (s) Z(s)I(s) I(s) Y (s)v (s) Z(s): Y (s): 2: ( ( V V 2 I I 2 ) ( ) ( Z Z 2 Z 2 Z 22 ) ( ) ( Y Y 2 Y 2 Y 22 ( ) ( ) Z Z 2 Y Y 2 : : Z 2 Z

More information

untitled

untitled 1 SS 2 2 (DS) 3 2.1 DS................................ 3 2.2 DS................................ 4 2.3.................................. 4 2.4 (channel papacity)............................ 6 2.5........................................

More information

1 4 1 ( ) ( ) ( ) ( ) () 1 4 2

1 4 1 ( ) ( ) ( ) ( ) () 1 4 2 7 1995, 2017 7 21 1 2 2 3 3 4 4 6 (1).................................... 6 (2)..................................... 6 (3) t................. 9 5 11 (1)......................................... 11 (2)

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

スライド 1

スライド 1 isplever CLASIC 1.2 Startup Manual for MACH4000 Rev.1.0 isplever_ CLASIC Startup_for_MACH4000_Rev01.ppt Page: 1 1. Page 3 2. Lattice isplever Design Flow Page 4 3. Page 5 3-1 Page 6 3-2 Page 7 3-3 Page

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

1 1 sin cos P (primary) S (secondly) 2 P S A sin(ω2πt + α) A ω 1 ω α V T m T m 1 100Hz m 2 36km 500Hz. 36km 1

1 1 sin cos P (primary) S (secondly) 2 P S A sin(ω2πt + α) A ω 1 ω α V T m T m 1 100Hz m 2 36km 500Hz. 36km 1 sin cos P (primary) S (secondly) 2 P S A sin(ω2πt + α) A ω ω α 3 3 2 2V 3 33+.6T m T 5 34m Hz. 34 3.4m 2 36km 5Hz. 36km m 34 m 5 34 + m 5 33 5 =.66m 34m 34 x =.66 55Hz, 35 5 =.7 485.7Hz 2 V 5Hz.5V.5V V

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

x (x, ) x y (, y) iy x y z = x + iy (x, y) (r, θ) r = x + y, θ = tan ( y ), π < θ π x r = z, θ = arg z z = x + iy = r cos θ + ir sin θ = r(cos θ + i s

x (x, ) x y (, y) iy x y z = x + iy (x, y) (r, θ) r = x + y, θ = tan ( y ), π < θ π x r = z, θ = arg z z = x + iy = r cos θ + ir sin θ = r(cos θ + i s ... x, y z = x + iy x z y z x = Rez, y = Imz z = x + iy x iy z z () z + z = (z + z )() z z = (z z )(3) z z = ( z z )(4)z z = z z = x + y z = x + iy ()Rez = (z + z), Imz = (z z) i () z z z + z z + z.. z

More information

main.dvi

main.dvi 3 Discrete Fourie Transform: DFT DFT 3.1 3.1.1 x(n) X(e jω ) X(e jω )= x(n)e jωnt (3.1) n= X(e jω ) N X(k) ωt f 2π f s N X(k) =X(e j2πk/n )= x(n)e j2πnk/n, k N 1 (3.2) n= X(k) δ X(e jω )= X(k)δ(ωT 2πk

More information

ω 0 m(ẍ + γẋ + ω0x) 2 = ee (2.118) e iωt x = e 1 m ω0 2 E(ω). (2.119) ω2 iωγ Z N P(ω) = χ(ω)e = exzn (2.120) ϵ = ϵ 0 (1 + χ) ϵ(ω) ϵ 0 = 1 +

ω 0 m(ẍ + γẋ + ω0x) 2 = ee (2.118) e iωt x = e 1 m ω0 2 E(ω). (2.119) ω2 iωγ Z N P(ω) = χ(ω)e = exzn (2.120) ϵ = ϵ 0 (1 + χ) ϵ(ω) ϵ 0 = 1 + 2.6 2.6.1 ω 0 m(ẍ + γẋ + ω0x) 2 = ee (2.118) e iωt x = e 1 m ω0 2 E(ω). (2.119) ω2 iωγ Z N P(ω) = χ(ω)e = exzn (2.120) ϵ = ϵ 0 (1 + χ) ϵ(ω) ϵ 0 = 1 + Ne2 m j f j ω 2 j ω2 iωγ j (2.121) Z ω ω j γ j f j

More information

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/

1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/ 1 Kazutoshi Kobayashi kobayasi@ieeeorg 2002 12 10-11 1, Verilog-HDL, Verilog-HDL Verilog-HDL,, FPGA,, HDL, 11, 1 (a) (b) (c) FPGA (d) 2 10,, Verilog-HDL, FPGA, 12,,,, html % netscape file://home/users11/kobayasi/kobayasi/refresh/indexhtml,,

More information

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63>

<4D F736F F D B B83578B6594BB2D834A836F815B82D082C88C60202E646F63> 通信方式第 2 版 サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/072662 このサンプルページの内容は, 第 2 版発行当時のものです. i 2 2 2 2012 5 ii,.,,,,,,.,.,,,,,.,,.,,..,,,,.,,.,.,,.,,.. 1990 5 iii 1 1

More information

( ) 2.1. C. (1) x 4 dx = 1 5 x5 + C 1 (2) x dx = x 2 dx = x 1 + C = 1 2 x + C xdx (3) = x dx = 3 x C (4) (x + 1) 3 dx = (x 3 + 3x 2 + 3x +

( ) 2.1. C. (1) x 4 dx = 1 5 x5 + C 1 (2) x dx = x 2 dx = x 1 + C = 1 2 x + C xdx (3) = x dx = 3 x C (4) (x + 1) 3 dx = (x 3 + 3x 2 + 3x + (.. C. ( d 5 5 + C ( d d + C + C d ( d + C ( ( + d ( + + + d + + + + C (5 9 + d + d tan + C cos (sin (6 sin d d log sin + C sin + (7 + + d ( + + + + d log( + + + C ( (8 d 7 6 d + 6 + C ( (9 ( d 6 + 8 d

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

t = h x z z = h z = t (x, z) (v x (x, z, t), v z (x, z, t)) ρ v x x + v z z = 0 (1) 2-2. (v x, v z ) φ(x, z, t) v x = φ x, v z

t = h x z z = h z = t (x, z) (v x (x, z, t), v z (x, z, t)) ρ v x x + v z z = 0 (1) 2-2. (v x, v z ) φ(x, z, t) v x = φ x, v z I 1 m 2 l k 2 x = 0 x 1 x 1 2 x 2 g x x 2 x 1 m k m 1-1. L x 1, x 2, ẋ 1, ẋ 2 ẋ 1 x = 0 1-2. 2 Q = x 1 + x 2 2 q = x 2 x 1 l L Q, q, Q, q M = 2m µ = m 2 1-3. Q q 1-4. 2 x 2 = h 1 x 1 t = 0 2 1 t x 1 (t)

More information

IPSJ SIG Technical Report Vol.2009-CVIM-167 No /6/10 Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing

IPSJ SIG Technical Report Vol.2009-CVIM-167 No /6/10 Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing Real AdaBoost HOG 1 1 1, 2 1 Real AdaBoost HOG HOG Real AdaBoost HOG A Method for Reducing number of HOG Features based on Real AdaBoost Chika Matsushima, 1 Yuji Yamauchi, 1 Takayoshi Yamashita 1, 2 and

More information

24 I ( ) 1. R 3 (i) C : x 2 + y 2 1 = 0 (ii) C : y = ± 1 x 2 ( 1 x 1) (iii) C : x = cos t, y = sin t (0 t 2π) 1.1. γ : [a, b] R n ; t γ(t) = (x

24 I ( ) 1. R 3 (i) C : x 2 + y 2 1 = 0 (ii) C : y = ± 1 x 2 ( 1 x 1) (iii) C : x = cos t, y = sin t (0 t 2π) 1.1. γ : [a, b] R n ; t γ(t) = (x 24 I 1.1.. ( ) 1. R 3 (i) C : x 2 + y 2 1 = 0 (ii) C : y = ± 1 x 2 ( 1 x 1) (iii) C : x = cos t, y = sin t (0 t 2π) 1.1. γ : [a, b] R n ; t γ(t) = (x 1 (t), x 2 (t),, x n (t)) ( ) ( ), γ : (i) x 1 (t),

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

p06.dvi

p06.dvi I 6 : 1 (1) u(t) y(t) : n m a n i y (i) = b m i u (i) i=0 i=0 t, y (i) y i (u )., a 0 0, b 0 0. : 2 (2), Laplace, (a 0 s n +a 1 s n 1 + +a n )Y(s) = (b 0 s m + b 1 s m 1 + +b m )U(s),, Y(s) U(s) = b 0s

More information

Microsoft Word - 信号処理3.doc

Microsoft Word - 信号処理3.doc Junji OHTSUBO 2012 FFT FFT SN sin cos x v ψ(x,t) = f (x vt) (1.1) t=0 (1.1) ψ(x,t) = A 0 cos{k(x vt) + φ} = A 0 cos(kx ωt + φ) (1.2) A 0 v=ω/k φ ω k 1.3 (1.2) (1.2) (1.2) (1.1) 1.1 c c = a + ib, a = Re[c],

More information

(3) (2),,. ( 20) ( s200103) 0.7 x C,, x 2 + y 2 + ax = 0 a.. D,. D, y C, C (x, y) (y 0) C m. (2) D y = y(x) (x ± y 0), (x, y) D, m, m = 1., D. (x 2 y

(3) (2),,. ( 20) ( s200103) 0.7 x C,, x 2 + y 2 + ax = 0 a.. D,. D, y C, C (x, y) (y 0) C m. (2) D y = y(x) (x ± y 0), (x, y) D, m, m = 1., D. (x 2 y [ ] 7 0.1 2 2 + y = t sin t IC ( 9) ( s090101) 0.2 y = d2 y 2, y = x 3 y + y 2 = 0 (2) y + 2y 3y = e 2x 0.3 1 ( y ) = f x C u = y x ( 15) ( s150102) [ ] y/x du x = Cexp f(u) u (2) x y = xey/x ( 16) ( s160101)

More information

Microsoft PowerPoint - slide

Microsoft PowerPoint - slide ディジタル回路設計の基礎 京都大学情報学研究科小林和淑 kobayasi@i.kyoto-u.ac.jp 内容 単相クロック完全同期回路 構成要素 D フリップフロップ 同期回路の性能 ハードウエア設計手法 論理設計手法の歴史 ハードウエア記述言語 RTL 設計 LSI の設計フロー セルベース設計とゲートアレイ PLD と FPGA 2 単相クロック完全同期回路 同期回路とは? 時間方向を同期パルス

More information

m(ẍ + γẋ + ω 0 x) = ee (2.118) e iωt P(ω) = χ(ω)e = ex = e2 E(ω) m ω0 2 ω2 iωγ (2.119) Z N ϵ(ω) ϵ 0 = 1 + Ne2 m j f j ω 2 j ω2 iωγ j (2.120)

m(ẍ + γẋ + ω 0 x) = ee (2.118) e iωt P(ω) = χ(ω)e = ex = e2 E(ω) m ω0 2 ω2 iωγ (2.119) Z N ϵ(ω) ϵ 0 = 1 + Ne2 m j f j ω 2 j ω2 iωγ j (2.120) 2.6 2.6.1 mẍ + γẋ + ω 0 x) = ee 2.118) e iωt Pω) = χω)e = ex = e2 Eω) m ω0 2 ω2 iωγ 2.119) Z N ϵω) ϵ 0 = 1 + Ne2 m j f j ω 2 j ω2 iωγ j 2.120) Z ω ω j γ j f j f j f j sum j f j = Z 2.120 ω ω j, γ ϵω) ϵ

More information

,. Black-Scholes u t t, x c u 0 t, x x u t t, x c u t, x x u t t, x + σ x u t, x + rx ut, x rux, t 0 x x,,.,. Step 3, 7,,, Step 6., Step 4,. Step 5,,.

,. Black-Scholes u t t, x c u 0 t, x x u t t, x c u t, x x u t t, x + σ x u t, x + rx ut, x rux, t 0 x x,,.,. Step 3, 7,,, Step 6., Step 4,. Step 5,,. 9 α ν β Ξ ξ Γ γ o δ Π π ε ρ ζ Σ σ η τ Θ θ Υ υ ι Φ φ κ χ Λ λ Ψ ψ µ Ω ω Def, Prop, Th, Lem, Note, Remark, Ex,, Proof, R, N, Q, C [a, b {x R : a x b} : a, b {x R : a < x < b} : [a, b {x R : a x < b} : a,

More information

arma dvi

arma dvi ARMA 007/05/0 Rev.0 007/05/ Rev.0 007/07/7 3. : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : 3. : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : 3.3 : : : :

More information

, FPGA Verilog-HDL

, FPGA Verilog-HDL Kazutoshi Kobayashi (kobayasi@kuee.kyoto-u.ac.jp) 2007 12 19-20 1 1 1.1...................................... 1 1.2,................................. 1 2 2 2.1 FPGA......................... 2 2.2 Verilog-HDL.............................

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

t (x(t), y(t)), a t b (x(a), y(a)) t ( ) ( ) dy s + dt dt dt [a, b] a a t < t 1 < < t n b {(x(t i ), y(t i ))} n i ( s(t) ds ) ( ) dy dt + dt dt ( ) d

t (x(t), y(t)), a t b (x(a), y(a)) t ( ) ( ) dy s + dt dt dt [a, b] a a t < t 1 < < t n b {(x(t i ), y(t i ))} n i ( s(t) ds ) ( ) dy dt + dt dt ( ) d 1 13 Fall Semester N. Yamada Version:13.9.3 Chapter. Preliminalies (1 3) Chapter 1. (4 16) Chapter. (17 9) Chapter 3. (3 49) Chapter 4. (5 63) Chapter 5. (64 7) Chapter 6. (71 8) 11, ISBN 978-4-535-618-4.

More information

数学の基礎訓練I

数学の基礎訓練I I 9 6 13 1 1 1.1............... 1 1................ 1 1.3.................... 1.4............... 1.4.1.............. 1.4................. 3 1.4.3........... 3 1.4.4.. 3 1.5.......... 3 1.5.1..............

More information

= π2 6, ( ) = π 4, ( ). 1 ( ( 5) ) ( 9 1 ( ( ) ) (

= π2 6, ( ) = π 4, ( ). 1 ( ( 5) ) ( 9 1 ( ( ) ) ( + + 3 + 4 +... π 6, ( ) 3 + 5 7 +... π 4, ( ). ( 3 + ( 5) + 7 + ) ( 9 ( ( + 3) 5 + ) ( 7 + 9 + + 3 ) +... log( + ), ) +... π. ) ( 3 + 5 e x dx π.......................................................................

More information

N88 BASIC 0.3 C: My Documents 0.6: 0.3: (R) (G) : enterreturn : (F) BA- SIC.bas 0.8: (V) 0.9: 0.5:

N88 BASIC 0.3 C: My Documents 0.6: 0.3: (R) (G) : enterreturn : (F) BA- SIC.bas 0.8: (V) 0.9: 0.5: BASIC 20 4 10 0 N88 Basic 1 0.0 N88 Basic..................................... 1 0.1............................................... 3 1 4 2 5 3 6 4 7 5 10 6 13 7 14 0 N88 Basic 0.0 N88 Basic 0.1: N88Basic

More information

x () g(x) = f(t) dt f(x), F (x) 3x () g(x) g (x) f(x), F (x) (3) h(x) = x 3x tf(t) dt.9 = {(x, y) ; x, y, x + y } f(x, y) = xy( x y). h (x) f(x), F (x

x () g(x) = f(t) dt f(x), F (x) 3x () g(x) g (x) f(x), F (x) (3) h(x) = x 3x tf(t) dt.9 = {(x, y) ; x, y, x + y } f(x, y) = xy( x y). h (x) f(x), F (x [ ] IC. f(x) = e x () f(x) f (x) () lim f(x) lim f(x) x + x (3) lim f(x) lim f(x) x + x (4) y = f(x) ( ) ( s46). < a < () a () lim a log xdx a log xdx ( ) n (3) lim log k log n n n k=.3 z = log(x + y ),

More information

2011de.dvi

2011de.dvi 211 ( 4 2 1. 3 1.1............................... 3 1.2 1- -......................... 13 1.3 2-1 -................... 19 1.4 3- -......................... 29 2. 37 2.1................................ 37

More information

高速データ変換

高速データ変換 Application Report JAJA206 V+ R 5 V BIAS Q 6 Q R R 2 Q 2 Q 4 R 4 R 3 Q 3 V BIAS2 Q 5 R 6 V Ω Q V GS + R Q 4 V+ Q 2 Q 3 + V BE V R 2 Q 5 R Op Amp + Q 6 V BE R 3 Q 7 R 4 R 2 A A 2 Buffer 2 ± Ω Ω R G V+ Q.4.2

More information

Kazutoshi Kobayashi (kobayasi kit.ac.jp)

Kazutoshi Kobayashi (kobayasi kit.ac.jp) Kazutoshi Kobayashi (kobayasi kit.ac.jp) 2009 11 24-25 1 1 1.1.................................. 1 1.2,............................ 1 2 2 2.1 FPGA.................... 2 2.2 Verilog-HDL........................

More information

PRECISION DIGITAL PROCESSOR DC-101

PRECISION DIGITAL PROCESSOR DC-101 PRECISION DIGITAL PROCESSOR Accuphase warranty is valid only in Japan. 2 3 1 4 5 IN 6 10 11 7 8 9 12 3 INPUT LEVEL(dB) 2 4 5 PRECISION DIGITAL PROCESSOR STEREO MHZ SELECTIVITY METER NORMAL SIGNAL MEMORY

More information