授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201

Size: px
Start display at page:

Download "授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 201"

Transcription

1 授業のあとで 情報処理工学 : 第 3 回 10 進数を 16 進数に変換する方法と 16 進数を 10 進数に変換する方法は 標準的な方法でも良いですか? 履修申告は済みましたか? 割り算 方法 ) 54 余り 6 16 ) 3 余り 3 ) 0 第 4 回へ 2013/10/30 2 授業のあとで (#2) したがって ここまでの復習 2/10/16 進数の相互の変換はできますね 一方 は 掛け算 方法 N= 3x x16 0 N= 3x16+6x1 = 48+6 = 54 どちらでも良い 桁数が多い時の計算方法が自分に合う方を身につける様に 2 の補数 を使った引き算はできますね 画像データの量は計算できますね 例題 1,024 x 1,024 画素, 各色 8bit の画像データは何ビット? 一 2013/10/ /10/30 5

2 今日の講義 項 (p29) から 項 (-p34) まで 3.1.1: 図記号と真理値表 ND : 表 3-1 / ともに 1 の時のみ出力が の掛け算と思えば良い Y= OR NOT : 表 3-2/3-3 Y=+ Y= 論理代数の定理 公理 公理 5 が いわゆる数学公式と異なる 定理 定理 は良く使う OR の否定は 否定の ND ND の比的は 否定の OR 3.1.2: 論理代数の定理 2013/10/ /10/30 7 公理と定理 : ベン図 +( C) (+) (+C) Ω 公理と定理 : ベン図 各自 公理 定理をベン図を用いて確認する Ω C 2013/10/ /10/30 9

3 3.1.3 基本的論理ゲート 基本回路 :ND/OR/NOT 記号 ( 図 3-4/5/6/7) ND NND その他の論理ゲート 新たに NND Ex-OR が参画 NND = ND = OR Ex-OR : / の片方の入力が 1 の時のみ Y=1 この論理ゲートは良く使います 記号 :+ 記号 ( 図 3-4/5/6/7) OR NOT NND XOR 2013/10/ /10/ 正論理 負論理 普通は 正 論理で回路を組む 一部 負 : で扱った方が便利 負 論理 負論理の考え方 [ 例 ]: 負論理の ND 回路は正論理の何? 正論理の ND : ND 正論理 負論理 (#2) [ 例 ]: 負論理のND 回路は正論理の何? 正論理のND : ND :, ND の 0 1 を逆にする ( 負論理にする ) : OUT /10/ /10/33

4 3.1.5 正論理 負論理 (#3) [ 例 ]: 負論理の ND 回路は正論理の何? :, ND の 0 1 を逆にし 順番を逆にする : OUT これは何? OR でしょ! したがって :?? 11 1 負論理の ND 回路は正論理の OR 章末 exercise: 問題 1 負論理のNNDゲートは正論理の何ゲートになるか考察せよ 負論理のNND 正論理に変換 NND 正論理の NND : Out 負論理の NND : Out? : 正論理 Out /10/ /10/35 正論理 Out 一方 : の OR と を取ると : OR 正論理の 回路になる 負論理の NND ゲートは正論理の ゲートになる 章末 exercise: 問題 1 正論理のNNDゲートは負論理の何ゲートになるか考察せよ 正論理のNND 負論理に変換 NND : Out? : 負論理 Out /10/ /10/37

5 : Out 情報処理工学 : 第 4 回 : の OR と をとると : OR 負論理の 回路になる 2013/10/38 論理回路のまとめ 白丸は not 入力 出力 ND OR NND XOR not 回路設計 H/W(Hard Ware: 回路 ) の性質から NND/ 回路の構成が ND/OR 回路の構成より簡単な場合がある ここでは NND, 回路を使って他の論理回路を作成してみる 例題 3-3:NND OR 2013/10/ /10/30 21

6 論理代数による簡素化 NND : Out OR : Out 教科書 (p39) にある論理代数による変換から Y=+= =(NOT_)ND(NOT_) まず (NOT_) を NND で作る : NND NOT 回路 NND 従って 教科書図 3-19 のとおり 2013/10/ /10/30 23 第 3.3 節いろいろな論理回路 H 構成例 ( 図 3-20) )H: 半加算器 :Half dder C S XOR S: 出力 1bit 目 2bit 目 1bit 目は EOR 2bit 目は ND になっている! C:Carry S:Sum ND C: 桁上がり ( キャリー ) 2bit 目 2013/10/ /10/30 25

7 第 3.3 節いろいろな論理回路 )H: 半加算器 :Half dder 第 3.3 節いろいろな論理回路 )F: 全加算器 :Full dder 桁上がり bit bit 目 2bit 目 1bit 目は EOR 2bit 目は ND になっている! 1bit 目 2bit 目 1bit 目は EOR 2bit 目は ND になっている! 下位の桁からの繰り上がりを考慮しないものを H と呼ぶ ( 考慮するものは F) 下位の桁からの繰り上がりを考慮 入力は, に加え桁上がりの C の 3 入力 出力は真理値の S と桁上がり情報の C の 2 出力 2013/10/ /10/30 27 第 3.3 節いろいろな論理回路 加算回路 エンコーダとデコーダ 教科書に書かれている話は 仮に ~D を 4bit の各 1bit に対応させ エンコード / デコードしたらどうなるか と言う議論 重要な点 ( 一般的なエンコーダ / デコーダ ) SCII コード等のように 文字をビット信号へ変換することを エンコード / エンコーダ あるいは単に CODER と呼ぶ 逆の操作 ( ビット信号 文字 ) を DeCord/DeCorder とよぶ このような処理をする装置を コーデック (CODEC) と呼ぶことが多い 章末 exercise: 問題 2 Y= C+ C+ C+ C Y= C (+)+ C (+) Y= C+ C = (C+C) = 2013/10/ /10/30 29

8 章末 exercise: 問題 3 回路 ( 左 ) のみで ND 回路 ( 右 ) を作る 章末 exercise: 問題 3 回路 ND ND : : ND : : [][] : ND /10/ /10/30 31 章末 exercise: 問題 3 章末 exercise: 問題 3 したがって 回路で ND 回路を作るには Y=+ そので で NOT を作る 以上より : : 教科書 243 ページ ( 上の図 ) の回答 誤 : NND ゲートによる NOT 回路 正 : ゲートによる NOT 回路 : 出力 2013/10/ /10/30 33

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LCB_14_論理回路シミュレータ.ppt ( 第 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など ( 論理回路 Ⅰ) の期末試験 実施日 : 8/5( 金 ) : @ 教室 ( 定規 OK, 参照ダメ ) 成績評価 : 中間 5%, 期末 5% ( 出席率 8% 以上の学生が評価対象

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt

Microsoft PowerPoint LC1_14_論理回路シミュレータ.ppt の期末試験 実施日 : 7/( 金 ) 限 @ 教室 ( 参照不可, 定規 OK) 成績評価 : 中間 5%, 期末 5%( 試験成績のみ ) ( 第 回 ) 特別講義 : 論理回路シミュレータ. 論理回路の基本 ( 復習 ). シミュレータ (Multiim). 回路シミュレータの概要. 設計実例 : H,F, 簡易電卓など 出題 : 前半 (~6 回 )/, 後半 (8 回 ~)/ 教科書 +(

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

HW-Slides-04.ppt

HW-Slides-04.ppt ハードウェア実験 組み込みシステム入門第 4 回 2012 年 10 月 11 日 IC TRAINER の導入 2 ブレッドボードとは何か! 手引き書 P8 半田付けせずに 簡単にリード線を差し込むだけで回路の動作を調べることができるボード! 部品挿入エリアでは ABCDE が縦に裏側で接続されている! 電源ラインでは 横に接続されている! 慣例として! 赤 : + 電源! 青 :- 電源または

More information

スライド 1

スライド 1 ブール代数 ブール代数 集合 { 0, 1 } の上で演算 AND, OR, NOT からなる数学的体系 何のため? ある演算をどのような回路で実現すればよいのか? どうすれば回路が小さくなるのか? どうすれば回路が速く動くのか? 3 復習 : 真理値表とゲート記号 真理値表 A B A B 0 0 0 0 1 0 1 0 0 1 1 1 A B A+B 0 0 0 0 1 1 1 0 1 1 1

More information

Microsoft Word - 0-オリエンテーション.doc

Microsoft Word - 0-オリエンテーション.doc 論理回路 (1 年前期金 3 限履修コード T513) 論理回路はコンピュータの算数 ( 数学ではない ) 身につけないと デジタルシステムを何ら理解することはできない 0. オリエンテーション授業中 質問はいつでも 大きな声ですること 0.1. 自己紹介川口博 (S430807 神戸市垂水区産 明石市育ち ) 明石高専電気工学科 修士 ( 千葉大学電子工学専攻 ) コナミ アーケードゲーム H/W

More information

Microsoft PowerPoint - ch1.ppt

Microsoft PowerPoint - ch1.ppt 論理回路 ( 基礎 ) 法政大学 情報科学部 大森健児 参考書 論理演算 () AND,OR,NOT,XOR AND OR NOT XOR 論理演算 (2) NAND,NOR NAND NOR 前提 結論 If A then B は A が真のとき B が真であるならば この文は真であり A が偽のときは B が真であろうとなかろうとこの文は真である A が真のとき B が偽であればこの文は偽である

More information

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx

Microsoft Word - 19-d代 試é¨fi 解ç�fl.docx 2019 年度ディジタル代数期末試験解答例 再評価試験は期末試験と同程度の難しさである. しっかり準備して受けるように. 1. アドレスが 4 バイトで表わされた画像処理専用プロセッサが幾つかのデータを吐き出して停まってしまった. そのデータの 1 つはレジスタ R0 の中身で,16 進表示すると (BD80) 16 であった. このデータに関して, 以下の問に対する回答を対応する箱内に書け. (1)

More information

一般電気工学第二

一般電気工学第二 1 電子情報工学基礎 第 1 回 コンピュータの歴史と アーキテクチャの基礎 2 コンピュータとは Compute: 計算する Computer: 電子計算機 ( 元々は計算をする人を指す ) 紀元前 3000 年頃古代バビロニアで数字が誕生, 十進法が定められる. 3 計算する機械 算盤,Abacus 1617 年にネピアによる対数の理論, 計算尺の原型の発明 歯車式のパスカリーヌ計算機 ライプニッツの横型ドラム方式の回転計算機

More information

Microsoft Word - 論理回路10.doc

Microsoft Word - 論理回路10.doc 2. 論理設計 () 組合せ回路. 概説 表 SCII コードの例 アナログ装置では量や数を 電圧の大きさ や 針の振れる角度 などで表現している それに対して, 進 2 進 6 進 英数文字 コンピュータなどのディジタル装置では量や数を2 35 23 # 進数で符号化し, 表現している 我々人間は数を符 号化するために 2 9 という 通りの文字を用いているが, ディジタル装置の中では 47 48

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

Microsoft PowerPoint LCB_8.ppt

Microsoft PowerPoint LCB_8.ppt ( 第 8 回 ) 鹿間信介摂南大学理工学部電気電子工学科 論理記号 5. 論理機能記号と論理記号 5.. 論理機能記号 5..2 論理記号 5..4 ダイオードによるゲート回路 5..3 論理回路の結線と論理ゲートの入出力特性 (DTL & TTL) 演習 頻度 中間試験結果 35 3 25 2 5 5 最小 3 最大 (6 名 ) 平均 74. 6 以上 86 人 (76%) 6 未満 27 人

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 03 変数と式 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 3.1 変数と型 変数とは p.60 C 言語のプログラム中で, 入力あるいは計算された数や文字を保持するには, 変数を使用する. 名前がついていて値を入れられる箱, というイメージ. 変数定義 : 変数は変数定義 ( 宣言 ) してからでないと使うことはできない. 代入 : 変数には値を代入できる.

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

Microsoft PowerPoint - LogicCircuits01.pptx

Microsoft PowerPoint - LogicCircuits01.pptx 論理回路 第 回論理回路の数学的基本 - ブール代数 http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 本科目の内容 電子計算機 computer の構成 ソフトウェア 複数のプログラムの組み合わせ オペレーティングシステム アプリケーション等 ハードウェア 複数の回路 circuit の組み合わせ

More information

離散数学

離散数学 離散数学 ブール代数 落合秀也 前回の復習 : 命題計算 キーワード 文 複合文 結合子 命題 恒真 矛盾 論理同値 条件文 重条件文 論法 論理含意 記号 P(p,q,r, ),,,,,,, 2 今日のテーマ : ブール代数 ブール代数 ブール代数と束 そして 順序 加法標準形とカルノー図 3 今日のテーマ : ブール代数 ブール代数 ブール代数と束 そして 順序 加法標準形とカルノー図 4 ブール代数の法則

More information

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X (

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X ( 第 週ラプラス変換 教科書 p.34~ 目標ラプラス変換の定義と意味を理解する フーリエ変換や Z 変換と並ぶ 信号解析やシステム設計における重要なツール ラプラス変換は波動現象や電気回路など様々な分野で 微分方程式を解くために利用されてきた ラプラス変換を用いることで微分方程式は代数方程式に変換される また 工学上使われる主要な関数のラプラス変換は簡単な形の関数で表されるので これを ラプラス変換表

More information

Microsoft PowerPoint - 3.2組み合わせ回路BL.pptx

Microsoft PowerPoint - 3.2組み合わせ回路BL.pptx 3.2 組み合わせ回路 マイクロプロセッサへの適用例 3.2.1 加減算器 2 加算器 (Ripple Carry Adder: RCA) FA の真理値表 A B Cin Cout S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 A+B+Cin 3 FA(Full Adder) 真理値表

More information

講義「○○○○」

講義「○○○○」 講義 システムの信頼性 内容. 直列システムの信頼性. 並列システムの信頼性 3. 直列 並列の複合システムの信頼性 4. 信頼性向上のための手法 担当 : 倉敷哲生 ビジネスエンジニアリング専攻 システムの構成 種々の機械や構造物, システムを分割していけば. 個々の要素 サブシステム となる. サブシステムの組み合わせ方式 直列系 並列系 m/ 冗長系 待機冗長系 3 直列システムの信頼性 直列系

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 4 回目演算子 今日の講義で学ぶ内容 演算子とオペランド 式 様々な演算子 代表的な演算子の使用例 演算子とオペランド 演算子 演算の種類です例えば + - * / 掛け算の記号は ではなく *( アスタリスク ) を使います割り算の記号は ではなく /( スラッシュ ) を使います オペランド 演算の対象です例えば 5( 値 ) num( 変数 ) 式 演算子とオペランドの組み合わせにより構成される数式です式は演算結果をもちます

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

Microsoft PowerPoint - Chap4 [Compatibility Mode]

Microsoft PowerPoint - Chap4 [Compatibility Mode] 計算機構成論 (Chap. ) @C01 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch2012/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2017 年 5 月 15 日 東邦大学金岡晃 前回の復習 (1) このプログラムを作成し実行してください 1 前回の復習 (2) このプログラムを作成し実行してください 2 前回の復習 (3) 3 前回の復習 演算子 代入演算子 インクリメント シフト演算子 型変換 4 場合に応じた処理 5 こういうプログラムを作りたい 5 教科のテスト

More information

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識

知識工学 II ( 第 2 回 ) 二宮崇 ( ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7.1 知識 知識工学 II ( 第 回 ) 二宮崇 ( ninomiya@cs.ehime-u.ac.jp ) 論理的エージェント (7 章 ) 論理による推論 命題論理 述語論理 ブール関数 ( 論理回路 )+ 推論 ブール関数 +( 述語 限量子 ( ) 変数 関数 定数 等号 )+ 推論 7. 知識に基づくエージェント知識ベース (knowledge base, KB): 文 の集合 他の 文 から導出されない

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

Microsoft PowerPoint - qcomp.ppt [互換モード]

Microsoft PowerPoint - qcomp.ppt [互換モード] 量子計算基礎 東京工業大学 河内亮周 概要 計算って何? 数理科学的に 計算 を扱うには 量子力学を計算に使おう! 量子情報とは? 量子情報に対する演算 = 量子計算 一般的な量子回路の構成方法 計算って何? 計算とは? 計算 = 入力情報から出力情報への変換 入力 計算機構 ( デジタルコンピュータ,etc ) 出力 計算とは? 計算 = 入力情報から出力情報への変換 この関数はどれくらい計算が大変か??

More information

融合規則 ( もっとも簡単な形, 選言的三段論法 ) ll mm ll mm これについては (ll mm) mmが推論の前提部になり mmであるから mmは常に偽となることがわかり ll mmはllと等しくなることがわかる 機械的には 分配則より (ll mm) mm (ll mm) 0 ll m

融合規則 ( もっとも簡単な形, 選言的三段論法 ) ll mm ll mm これについては (ll mm) mmが推論の前提部になり mmであるから mmは常に偽となることがわかり ll mmはllと等しくなることがわかる 機械的には 分配則より (ll mm) mm (ll mm) 0 ll m 知識工学 ( 第 5 回 ) 二宮崇 ( ninomiya@cs.ehime-u.ac.jp ) 論理的エージェント (7 章のつづき ) 証明の戦略その 3 ( 融合法 ) 証明の戦略その 1 やその 2 で証明できたときは たしかにKKKK ααとなることがわかるが なかなか証明できないときや 証明が本当にできないときには KKKK ααが成り立つのか成り立たないのかわからない また どのような証明手続きを踏めば証明できるのか定かではない

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 5 回目演算子の優先順位と変数の型変換 今日の講義で学ぶ内容 演算子の優先順位 優先順位の変更の方法 キャスト演算子と型変換 演算子の優先順位 演算子の優先順位 式を計算するときの演算の順序です例えば a=b*c+d; では乗算を先に計算するというルールです ( 主な演算子の優先順位 ) 演算子 名前 結合規則 ++ 後置インクリメント 左 -- 後置デクリメント 左!

More information

(1)デジタル回路の基礎v160415

(1)デジタル回路の基礎v160415 2016.4.15 訂正 p.12 4. 図, 表 4 行目 の下, 表の場合は表の下である. の 下 を 上 に訂正 第 1 回デジタル回路の基礎 1. 実験目的論理回路 (Logic circuit) はブール代数を使って論理演算を行う電気回路である. 基本的な論理演算を論理ゲート (Logic gate), または単にゲートとも呼ぶ. 基本的な論理ゲートとして AND( 論理積 ),OR( 論理和

More information

橡ボーダーライン.PDF

橡ボーダーライン.PDF 1 ( ) ( ) 2 3 4 ( ) 5 6 7 8 9 10 11 12 13 14 ( ) 15 16 17 18 19 20 ( ) 21 22 23 24 ( ) 25 26 27 28 29 30 ( ) 31 To be or not to be 32 33 34 35 36 37 38 ( ) 39 40 41 42 43 44 45 46 47 48 ( ) 49 50 51 52

More information

2 ver.10.7 論理回路 ( 原理と設計 ) 3 1 3. 組み合わせ論理回路の簡単化 同じ論理関数でも 回路の段数の深さ 使う論理素子の総数など 基準の違いによって複雑さが異なる ( 回路の設計コストに影響する ) 論理関数を簡単化する方法はいろいろ知られているが 数変数程度の論理関数を簡単化するときに有効な方法としてカルノー図が知られている ( 実際の論理回路はもっと多変数であるから 実用的な方法のわけではない

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2019 年 5 月 13 日 東邦大学金岡晃 場合に応じた処理 1 こういうプログラムを作りたい 5 教科のテスト 100 点以上各科目の点数の合計が 100 点未満 おめでとう! これで 100 点越えのプレゼントを獲得! というメッセージを出力 残念!100 点越えのプレゼントまであと ** 点! というメッセージを出力 5 教科の点数の合計が

More information

Report#2.docx

Report#2.docx 1.scanf() 関数による標準入力と基本演算子 ⅱ.1234 円の買い物をして 1 万円札を出したときの お釣りの札と硬貨の枚 数を求めるプログラムを作成せよ a)scanf() 関数を用いて 価格と支払い金額を入力せよ ソースコードの一部 ( 冒頭のコメントは省略 ) 12 13 14 15 16 17 18 1 20 21 22 23 24 25 26 27 28 2 30 31 32 33

More information

Report#2.docx

Report#2.docx プログラミング Ⅰ Report #2( 再 ) 提出日 :2013 年 8 月 1 日所属 : 工学部情報工学科学籍番号 :e135732j 氏名 : 前城健太郎 scanf() 関数による標準入力と基本演算子 1 1234 円の買い物をして 1 万円札を出したときの お釣りの札と硬貨の枚 数を求めるプログラムを作成せよ 1.2 scanf() 関数を用いて 価格と支払い金額を入力せよ 1.2.1

More information

Microsoft Word - .....J.^...O.|Word.i10...j.doc

Microsoft Word - .....J.^...O.|Word.i10...j.doc P 1. 2. R H C H, etc. R' n R' R C R'' R R H R R' R C C R R C R' R C R' R C C R 1-1 1-2 3. 1-3 1-4 4. 5. 1-5 5. 1-6 6. 10 1-7 7. 1-8 8. 2-1 2-2 2-3 9. 2-4 2-5 2-6 2-7 10. 2-8 10. 2-9 10. 2-10 10. 11. C

More information

HW-Slides-05.ppt

HW-Slides-05.ppt ハードウェア実験 組み込みシステム入門第 5 回 2012 年 10 月 18 日 順序論理回路の実験 このスライドの ゲートの動作記述の部分は 藤井先生のスライドから多くをいただいています 藤井先生に慎んでお礼申し上げます 2 今日の内容! 以下の論理回路を動作させる 1. D フリップフロップ回路 2. 4 進カウンタ回路 ( 同期式 ) 3. 10 進カウンタ回路! シフトレジスタを作成して

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft PowerPoint - 11.ppt

Microsoft PowerPoint - 11.ppt 多段論理合成 ( 前半概要 ) 第 章多段論理合成 年 月改訂 論理合成システム 積項を用いたファクタリング TVF 論理式の割り算 関数分解 回路の変換 //5 多段論理合成 //5 多段論理合成 LSI の設計システム 論理合成システム Loic Sntesis Sstem 半導体技術に独立 半導体技術に依存 動作記術機能記術 ネットリスト ネットリスト レイアウト 動作記述言語, 機能記述言語論理式,

More information

数学の世界

数学の世界 東京女子大学文理学部数学の世界 (2002 年度 ) 永島孝 17 6 行列式の基本法則と効率的な計算法 基本法則 三次以上の行列式についても, 二次の場合と同様な法則がなりたつ ここには三次の場合を例示するが, 四次以上でも同様である 1 単位行列の行列式の値は 1 である すなわち 1 0 0 0 1 0 1 0 0 1 2 二つの列を入れ替えると行列式の値は 1 倍になる 例えば a 13 a

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

VLSI工学

VLSI工学 25/1/18 計算機論理設計 A.Matsuzawa 1 計算機論理設計 (A) (Computer Logic Design (A)) 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 3. フリップフロップ回路とその応用 25/1/18 計算機論理設計 A.Matsuzawa 2 25/1/18 計算機論理設計 A.Matsuzawa 3 注意 この教科書では記憶回路を全てフリップフロップと説明している

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節命令一覧は p.113) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語

More information

Microsoft PowerPoint - アナログ電子回路3回目.pptx

Microsoft PowerPoint - アナログ電子回路3回目.pptx アナログ電 回路 3-1 電気回路で考える素 ( 能動素 ) 抵抗 コイル コンデンサ v v v 3-2 理 学部 材料機能 学科岩 素顕 iwaya@meijo-u.ac.jp トランジスタ トランジスタとは? トランジスタの基本的な動作は? バイポーラトランジスタ JFET MOFET ( エンハンスメント型 デプレッション型 ) i R i L i C v Ri di v L dt i C

More information

Microsoft Word - 2_0421

Microsoft Word - 2_0421 電気工学講義資料 直流回路計算の基礎 ( オームの法則 抵抗の直並列接続 キルヒホッフの法則 テブナンの定理 ) オームの法則 ( 復習 ) 図 に示すような物体に電圧 V (V) の直流電源を接続すると物体には電流が流れる 物体を流れる電流 (A) は 物体に加えられる電圧の大きさに比例し 次式のように表すことができる V () これをオームの法則 ( 実験式 ) といい このときの は比例定数であり

More information

スライド 1

スライド 1 順序回路 (2) 1 順序回路の設計 組合せ論理回路の設計法 構造や規則性に着目した手設計 ( 先人の知恵を使う ) 入力 出力の関係に基づく自動合成 ( カルノー図など ) 順序回路の設計法 構造や規則性に着目した手設計 ( 前回の各例 ) 入力 出力 状態の関係に基づく自動合成 2 同期式順序回路の入力 出力 状態の関係 x 1 x 2 組合せ回路 y 1 y 2 x n q 2 q p q 1

More information

Microsoft PowerPoint - 画像工学2007-2印刷用++++

Microsoft PowerPoint - 画像工学2007-2印刷用++++ 教室 : 14-202 OCTOBER 09 画像工学 2007 年度版 Imaging Science and Technolog 画像工学 2007 年度版 2 慶応義塾大学理工学部 教授 中島真人 1 ( 例 ) 画像システムとしてのカメラ 入力 f(,) ( 紙に書かれた文字 ) カメラ ( フィルムカメラ デジタルカメラ どちらでも OK ) (u,v) SYSTEM ( フィルム上または

More information

土壌環境行政の最新動向(環境省 水・大気環境局土壌環境課)

土壌環境行政の最新動向(環境省 水・大気環境局土壌環境課) 201022 1 18801970 19101970 19201960 1970-2 1975 1980 1986 1991 1994 3 1999 20022009 4 5 () () () () ( ( ) () 6 7 Ex Ex Ex 8 25 9 10 11 16619 123 12 13 14 5 18() 15 187 1811 16 17 3,000 2241 18 19 ( 50

More information

syuryoku

syuryoku 248 24622 24 P.5 EX P.212 2 P271 5. P.534 P.690 P.690 P.690 P.690 P.691 P.691 P.691 P.702 P.702 P.702 P.702 1S 30% 3 1S 3% 1S 30% 3 1S 3% P.702 P.702 P.702 P.702 45 60 P.702 P.702 P.704 H17.12.22 H22.4.1

More information

<91E63589F161>

<91E63589F161> ハードウェア実験 組み込みシステム入門第 5 回 2010 年 10 月 21 日 順序論理回路の実験 前回予告した今回の内容 次回も IC トレーナを使って 順序論理回路についての実験を行います 内部に 状態 を持つ場合の動作記述について 理解します 個々の IC を接続し SW 入力と LED の点灯表示とで論理回路としての動作を検証します それぞれの IC( 回路素子 ) ごとに真理値表を作成します

More information

Microsoft PowerPoint - 画像工学 print

Microsoft PowerPoint - 画像工学 print 教室 : 14-22 画像工学 28 年度版 Imaging Science and Technology 画像工学 28 年度版 2 慶応義塾大学理工学部 教授 慶応義塾大学理工学部 准教授 中島真人青木義満 ( 例 ) 画像システムとしてのカメラ y 入力 f(x,y) x ( 紙に書かれた文字 ) カメラ ( フィルムカメラ デジタルカメラ どちらでも OK ) (u,v) ) SYSTEM

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 情報セキュリティ第 3 回 大久保誠也 静岡県立大学経営情報学部 はじめに 情報セキュリティの技術 暗号 古典的な暗号 演習 : シーザー暗号 演習の解説 今日の資料は http://flute.u-shizuoka-ken.ac.jp/~s-okubo/ の 授業関係 から取ることができます 2/39 近頃のニュース等 LINE Amazon 楽天 で検索 偽セキュリティソフト で検索 情報セキュリティの技術

More information

i コロナ社 AND OR NOT SRAM IC

i コロナ社 AND OR NOT SRAM IC i ANDORNOT SRAM IC ii SRAM PIC 1 1. 1 ディジタルコード 1 1. 2 自然数と正の有理数の 2 進コード 3 1.2.1 アラビア数字による自然数の各種コード 3 1.2.2 正の有理数の 2 進コード 5 1.2.3 自然数の各種コードの基数変換 6 1. 3 ビット 8 1. 4 エンコーダデコーダ 13 2 2. 1 文字 数字 その他の記号のディジタルコード

More information

Microsoft PowerPoint LC_7.ppt

Microsoft PowerPoint LC_7.ppt ( 第 7 回 ) 鹿間信介摂南大学理工学部電気電子工学科 9.3.(b) ポジティブエッジトリガ -FF 9.3.(b) ネガティブエッジトリガ -FF 演習 エッジトリガ -FF ( その ) # #2 S R SRラッチによる-FF == 入力にて異常発振の問題あり ( トグル動作ではあるが ) ==にてトグル動作, 発振なし MS -FF ( 第 5 回講義 ) エッジトリガ -FF( 今回

More information

Microsoft PowerPoint - Chap2 [Compatibility Mode]

Microsoft PowerPoint - Chap2 [Compatibility Mode] 計算機構成論 (Chap. 2) @C http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/comparch22/index.html (user=ganbare, passwd = 初回の講義で言いました ) 講義に出るなら 分からないなら質問しよう 単位を取りたいなら 章末問題は自分で全部といておこう ( レポートと考えればいいんです!) ご意見 ご要望

More information

TC74HC4511AP/AF

TC74HC4511AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4511AP,TC74HC4511AF BCD-to-7 Segment Latch/Decoder/Driver TC74HC4511A は シリコンゲート CMOS 技術を用いた高速 CMOS ラッチ付き 7 セグメント デコーダ ドライバです 標準 CMOS の 4511B と同一ピン接続 同一ファンクションですが 高速ラッチ

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

OHP シートの作成 OHP でプレゼンテーションをする際に必要な OHP シートを作成できます 配布資料の作成プレゼンテーションの参加者に配布する資料を簡単に作成できます 参加者はメモ等この資料に書き込むことができ 理解を深めることができます 発表者用資料の作成プレゼンテーション中に発表者が参考に

OHP シートの作成 OHP でプレゼンテーションをする際に必要な OHP シートを作成できます 配布資料の作成プレゼンテーションの参加者に配布する資料を簡単に作成できます 参加者はメモ等この資料に書き込むことができ 理解を深めることができます 発表者用資料の作成プレゼンテーション中に発表者が参考に 応用演習第 3 回 2002.10.15 連絡事項 来週の授業はフィールドワークです 集合場所 時間は 9:00 に南草津 ACT 東脇の駐車場入り口部分とします グループでのフィールドワークになりますので 遅刻はしないようにしてください 京都 大阪方面からの方は 京都駅発 8 時 13 分または 25 分の電車に乗るようにしてください PowerPoint によるプレゼンテーション 今回は よりレベルの高いプレゼンを行うためのパワーポイントの技術を習得することを目的とし

More information

Microsoft PowerPoint - 画像工学2007-5印刷用

Microsoft PowerPoint - 画像工学2007-5印刷用 教室 : 4- NOVEMBER 6 画像工学 7 年度版 Imging Scinc nd Tchnolog 画像工学 7 年度版 5 慶応義塾大学理工学部 教授 中島真人 3. 画像のスペクトラム 3-. 画像のフーリエ変換と空間周波数の概念 3-. 簡単な図形のフーリエ変換 3-3. フーリエ変換の重要な性質 3-4. MTF と画像の評価 今週と来週は あまり面白くない. でも 後の講義を理解するために,

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

JavaScriptで プログラミング

JavaScriptで プログラミング JavaScript でプログラミング JavaScript とは プログラミング言語の 1 つ Web ページ上でプログラムを動かすことが主目的 Web ブラウザで動かすことができる 動作部分の書き方が C や Java などに似ている 2 JavaScript プログラムを動かすには の範囲を 1. テキストエディタで入力 2..html というファイル名で保存

More information

学年第 3 学年 2 単元名 ( 科目 ) いろいろな関数の導関数 ( 数学 Ⅲ) 3 単元の目標 三角関数 対数関数 指数関数の導関数を求めることができる 第 次導関数の意味を理解し 求めることができる 放物線 楕円 双曲線などの曲線の方程式を微分することができる 4 単元の学習計画 三角関数 対

学年第 3 学年 2 単元名 ( 科目 ) いろいろな関数の導関数 ( 数学 Ⅲ) 3 単元の目標 三角関数 対数関数 指数関数の導関数を求めることができる 第 次導関数の意味を理解し 求めることができる 放物線 楕円 双曲線などの曲線の方程式を微分することができる 4 単元の学習計画 三角関数 対 数学科 ( 数学 Ⅲ) 学習指導案 いろいろな関数の導関数 ( 高等学校第 3 学年 ) 神奈川県立総合教育センター < 高等学校 > 学習意欲を高める数学 理科学習指導事例集 平成 2 年 3 月 学習内容や学習活動の工夫や日常生活に関連した話題を取り入れた 抽象的な概念 を具体的なアプローチを通して理解させる 指導によって 学習意欲を高めることを 主な目的として行った授業実践の学習指導案です 学年第

More information

ポインタ変数

ポインタ変数 プログラミング及び実習 5 馬青 1 文字処理 数値処理 : 整数 浮動小数点数 単一の文字は と ( シングルクォーテーション ) で囲んで表現される 文字のデータ型は char または int である int を用いたほうが ライブラリの関数の引数の型と一致する 以下は全部 int の使用に統一する 従って int ch; で文字変数を宣言しておくと ch= A ; のように ch に文字 A

More information

untitled

untitled 186 17 100160250 1 10.1 55 2 18.5 6.9 100 38 17 3.2 17 8.4 45 3.9 53 1.6 22 7.3 100 2.3 31 3.4 47 OR OR 3 1.20.76 63.4 2.16 4 38,937101,118 17 17 17 5 1,765 1,424 854 794 108 839 628 173 389 339 57 6 18613

More information

untitled

untitled 1. 3 14 2. 1 12 9 7.1 3. 5 10 17 8 5500 4. 6 11 5. 1 12 101977 1 21 45.31982.9.4 79.71996 / 1997 89.21983 41.01902 6. 7 5 10 2004 30 16.8 37.5 3.3 2004 10.0 7.5 37.0 2004 8. 2 7 9. 6 11 46 37 25 55 10.

More information

Taro-1803 平行線と線分の比

Taro-1803 平行線と線分の比 平行線と線分の比 1 4 平行線と線分の比 ポイント : 平行な直線がある つの三角形の線分の比について考える 証明 右の図で で とする (1) は と相似である これを証明しなさい と において から 平行線の ( ) は等しいから 9c = ( ) 1 = ( ) 1, より ( ) がそれぞれ等しいので 相似な図形になるので相似比を利用して () : の相似比を求めなさい 対応する線分の長さを求めることができる

More information

Microsoft PowerPoint - program.ppt [互換モード]

Microsoft PowerPoint - program.ppt [互換モード] プログラミング演習 バージョン 1 担当教員 : 綴木馴 プログラムの決まりについて学ぶ おすすめする参考書 ザ C 戸川隼人サイエンス社 本日の予定 1. 授業の説明. 2. コンパイラーのインストール. プログラムの決まりについて学ぶ,P31 /* The most in C */ /* hello.c */ printf("hello,world n"); プログラムの決まり ( コメント )

More information

Taro-82ADAカ.jtd

Taro-82ADAカ.jtd デジタル & アナログ絶縁入出力ユニット解説書製品型式 8 2 A D A - K C 製品型式 8 2 A D A - B D 製品型式 D A C S - 8 2 0 0 この解説書は 8 2 A D A または D A C S - 8 2 0 0 の動作と使用方法について簡単に説明したものです D A C S - 8 2 0 0 の場合は この解説書の 8 2 A D A という表現を 一部

More information

橡matufw

橡matufw 3 10 25 3 18 42 1 2 6 2001 8 22 3 03 36 3 4 A 2002 2001 1 1 2014 28 26 5 9 1990 2000 2000 12 2000 12 12 12 1999 88 5 2014 60 57 1996 30 25 205 0 4 120 1,5 A 1995 3 1990 30 6 2000 2004 2000 6 7 2001 5 2002

More information

O

O 11 2 1 2 1 1 2 1 80 2 160 3 4 17 257 1 2 1 2 3 3 1 2 138 1 1 170 O 3 5 1 5 6 139 1 A 5 2.5 A 1 A 1 1 3 20 5 A 81 87 67 A 140 11 12 2 1 1 1 12 22 1 10 1 13 A 2 3 2 6 1 B 2 B B B 1 2 B 100 B 10 B 3 3 B 1

More information

Microsoft PowerPoint - 4回 [互換モード]

Microsoft PowerPoint - 4回 [互換モード] 計算機ハードウエア 2018 年度前期第 4 回 前回の話 CPU(SH7145) データバス (32 bit) コンピュータバスの構成 データバス インタフェースデータバス (16 bit) I/O (Input/ put) CPU メモリ I/O アドレスバス (22 bit) メモリ アドレスバス (22 bit) コントロールバス アドレスバス コントロールバス 割り込み信号リセット信号 コンピュータバスは

More information

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します 7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します http://groups.yahoo.com/neo/groups/ltspice/files/%20lib/digital%2074hcxxx (( 注意

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

Microsoft PowerPoint - 7.pptx

Microsoft PowerPoint - 7.pptx 通信路 (7 章 ) 通信路のモデル 情報 送信者 通信路 受信者 A a,, a b,, b B m = P( b ),, P( b m ) 外乱 ( 雑音 ) n = P( a,, P( a ) n ) 送信情報源 ( 送信アルファベットと生成確率 ) 受信情報源 ( 受信アルファベッと受信確率 ) でもよい 生成確率 ) 受信確率 ) m n 2 イメージ 外乱 ( 雑音 ) により記号 a

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 情報セキュリティ第 回 大久保誠也 静岡県立大学経営情報学部 はじめに 情報セキュリティの技術 暗号 古典的な暗号 演習 : シーザー暗号 演習の解説 今日の資料は http://flute.u-shizuoka-ken.ac.jp/~s-okubo/ の 授業関係 から取ることができます 2/28 近頃のニュース等 LINE Amazon 楽天 で検索 偽セキュリティソフト で検索 情報セキュリティの技術

More information

このスライドは以下の URL からダウンロード可能です 2

このスライドは以下の URL からダウンロード可能です   2 計算機学 伊藤彰則 aito@spcom.ecei.tohoku.ac.jp @akinori_ito 1 このスライドは以下の URL からダウンロード可能です http://www.spcom.ecei.tohoku.ac.jp/~aito/comp/ 2 参考書の紹介 計算機学入門デジタル世界の原理を学ぶ阿曽弘具著共立出版 2,900 以前教科書だった本の改訂版 講義の内容と一致しており 説明は詳しい

More information

25mm LED DISPLAY UNIT PD SERIES [ フォトカプラ内蔵型表示器 ] 文字高 25mm 表示器 1 桁幅寸法 27mm LED 発光色 2 色用意 ワンタッチ取り付け フォトカプラ / 0~9 表示ドライバー内蔵型 フォトカプラ / 0~F 表示ドライバー内蔵型 形名の呼

25mm LED DISPLAY UNIT PD SERIES [ フォトカプラ内蔵型表示器 ] 文字高 25mm 表示器 1 桁幅寸法 27mm LED 発光色 2 色用意 ワンタッチ取り付け フォトカプラ / 0~9 表示ドライバー内蔵型 フォトカプラ / 0~F 表示ドライバー内蔵型 形名の呼 [ フォトカプラ内蔵型表示器 ] 文字高 表示器 1 桁幅寸法 27mm LED 発光色 2 色用意 ワンタッチ取り付け フォトカプラ / 0~9 表示ドライバー内蔵型 フォトカプラ / 0~F 表示ドライバー内蔵型 形名の呼び方 PD RF 使用電圧記号 A:5V B:12V D:24V* ユニット桁数 1:1 桁 2:2 桁 6:6 桁 機種記号 1:0~9 表示ドライバ付正論理 (H ラッチ

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

スライド 1

スライド 1 プログラミング 第 3 週 静岡大学工学部機械工学科知能 材料コースロボット 計測情報分野臼杵深光電 精密コース光ナノバイオ分野居波渉 講義の前に 講義資料や演習課題 LiveCampusよりダウンロード可能 成績評価期末試験および課題により行う. 評価の配分は, おおむね試験 90%, 課題 10% である. 再試験期末試験で40 点以上 60 点未満の場合, 再試験となる. 2 月 26 日 (

More information

Information Theory

Information Theory 前回の復習 情報をコンパクトに表現するための符号化方式を考える 情報源符号化における基礎的な性質 一意復号可能性 瞬時復号可能性 クラフトの不等式 2 l 1 + + 2 l M 1 ハフマン符号の構成法 (2 元符号の場合 ) D. Huffman 1 前回の練習問題 : ハフマン符号 符号木を再帰的に構成し, 符号を作る A B C D E F 確率 0.3 0.2 0.2 0.1 0.1 0.1

More information

<4D F736F F D208C51985F82CD82B682DF82CC88EA95E A>

<4D F736F F D208C51985F82CD82B682DF82CC88EA95E A> 群論はじめの一歩 (6) 6. 指数 2の定理と2 面体群 命題 H を群 G の部分群とする そして 左剰余類全体 G/ H 右剰 余類全体 \ H G ともに指数 G: H 2 と仮定する このとき H は群 G の正規部分群である すなわち H 注意 ) 集合 A と B があるとき A から B を引いた差集合は A \ B と書かれるが ここで書いた H \ Gは差集合ではなく右剰余類の集合の意味である

More information