VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok

Size: px
Start display at page:

Download "VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuok"

Transcription

1 VHDL-AMS Department of Electrical Engineering, Doshisha University, Tatara, Kyotanabe, Kyoto, Japan TOYOTA Motor Corporation, Susono, Shizuoka, Japan kimitoshi MBD(MBD) (model description language) (multi-domain system)ad (AD mixed system) (international standard) (encryption specification) JL 0004/14/ C 2014 SICE 1. 1), 2) MBD(Model-Based Design or Development) () VHDL-AMS(Very-High Speed IC Hardware Description Language - Analog and Mixed Signal) 3)6) VHDL-AMS ) 3 4 IEEE IEC ), 4) 5 (implicit) VHDL-AMS 2. VHDL-AMS 2.1 VHDL-AMS f(x(t), ẋ(t),t)=0 (1) t x, ẋ () VHDL-AMS 1 1 (through) (across) 1 VHDL-AMS

2 2 VHDL-AMS VHDL-AMS VHDL 1 1 [A] [V] () [N] [m] () [N] [m/sec] () [Nm] [rad] () [Nm] [rad/sec] [W] [K] VHDL-AMS IC 1970 ASTAP SPICE 7), 8) MAST 9) 1990 ASIC VHDL IEEE MAST 1993 IEEE VHDL-AMS 2000 (VDA) (FAT-AK30 10) IEEE P VHDL-AMS VHDL-AMS 2 () (Branch quantity) (Free quantity) 2 (Interface Quantity) (in) (out) 1 VHDL VHDL-AMS (simultaneous statement)

3 1: library IEEE; 2: use IEEE.electrical systems.all; 3: entity resistor is 4: generic (R : real:=1.0); 5: port (terminal p, n : electrical); 6: end entity resistor; 7: artitecture behav of resistor is 8: quantity v across i through p to n; 9: begin 10: v = = R i; 11: end architecture behav; (a) VHDL-AMS 1: entity sum is 2: generic (k1 : real:=1.0); 3: generic (k2 : real:=1.0); 4: port (terminal in1, in2 : in real); 5: port (terminal output : out real); 6: end entity sum; 7: artitecture behav of sum is 8: begin 9: output = = k1*in1+k2*in2; 10: end architecture behav; 2 (b) VHDL-AMS VHDL-AMS == 3.2 VHDL-AMS 2 (a) 2 V A 3 6 entity generic R 1Ω port 2 (terminal) p, n (nature) 7 11 architecture quantity v i p n v==r i; == L[H] dot v==l i dot ; dot integ, above (attribute) VHDL-AMS 6) 2(b) 6 entity 2 in1, in2 output 9 output==k1*in1+k2*in2; VHDL-AMS VHDL 3) 4. EPS 4.1 EPS VHDL-AMS EPS EPS(Electric Power Steering) () () 3 EPS EPS

4 4 5 5 (a) (b) (a) (b) DC-DC 7 12V DC-DC 7 30Ah 12V 8 R 01,R 02 R 1,R 2 C 1,C 2 0V 30Ah R 01 =12.56 mωr mΩC F R 02 =9.3mΩR mΩ 1.0mΩ C FE 0 = V 4.4 ECU

5 6 7 12V (a) (b) 9 8 DLL (Dynamic Link Library) 5. 4 EPS Load 80D26 55Ah 30Ah 2 9 (a)

6 (a) U 11 1 (30Ah) (b) DCDC 12 EPS (c) (d) 10 9(b) (a) U DCDC 10 (b) 10 (c) 10 (d) V EPS VHDL-AMS EPS EPS 100A 50A 12 V V EPS 200 mv 55Ah 60Ah30Ah 2 12 V mv 1 EPS 705J 269J38%

7 () VHDL-AMS VHDL-AMS VHDL-AMS EPS T. Kato, K. Tsuji, and S. Shimada: Requirements to models of automotive system development for future model-based design, 7th IFAC Symposium on Advances in Automotive Control (2013) 2 K. Tsuji and T. Kato: The VHDL-AMS hv full vehicle simulation model for the concept planning of power performance and fuel economy estimation results, 7th IFAC Symposium on Advances in Automotive Control (2013) 3 VHDL Language Reference Manual, IEEE Standard, 1076/1987 ( ) 4 VHDL Analog and Mixed-Signal Extensions, IEEE Standard , IEC ( 2009) 5 E. Christen and K. Bakalar: VHDL-AMS - A hardware description language for analog and mixed-signal applications, IEEE Trans. Circuit and Systems II: Analog and Digital Signal Processing, 46 10, 1263/1272 (1999) 6 P.J. Ashenden, G.D. Peterson, and D.A. Teegarden: The System Designer s Guide to VHDL-AMS, Morgan Kaufmann Publishers (2003) 7 G.D. Hachtel, R. Brayton, and F.G. Gustavson: The sparse tableau approach to network analysis and design, IEEE Trans. Circuit Theory, CT-18, 101/113 (1971) 8 C. H. Ho, A. E. Ruehli, and P. A. Brennan: The Modified nodal approach to network analysis, IEEE Trans. Circuit and Systems, CAS-22, 504/509 (1975) 9 H.A. Mantooth and M. Fiegenbaum: Modeling with an Analog Hardware Description Language, Kluwer Academic Publishers (1995) 10 FAT-AK30 (Working Group: Simulation of Mixed Systems with VHDL-AMS); /index en.html IREQ MIT LEES

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

加藤先生.indd

加藤先生.indd Review 総 説 自 動 車 電 源 システムの VHDL-AMS による モデリングとそのシミュレーション Modeling and Simulation of an Automotive Electrical Power System with VHDL-AMS * 加 藤 利 次 Toshiji Kato Doshisha University Abstract An analog hardware

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

SICE東北支部研究集会資料(2012年)

SICE東北支部研究集会資料(2012年) 77 (..3) 77- A study on disturbance compensation control of a wheeled inverted pendulum robot during arm manipulation using Extended State Observer Luis Canete Takuma Sato, Kenta Nagano,Luis Canete,Takayuki

More information

P361

P361 ΣAD -RFDAC - High-Speed Continuous-Time Bandpass ΣAD Modulator Architecture Employing Sub-Sampling Technnique with 376-8515 1-5-1 Masafumi Uemori Tomonari Ichikawa Haruo Kobayashi Department of Electronic

More information

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i

1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 1030195 15 2 10 1 1 2 2 2-1 2 2-2 4 2-3 11 2-4 12 2-5 14 3 16 3-1 16 3-2 18 3-3 22 4 35 4-1 VHDL 35 4-2 VHDL 37 4-3 VHDL 37 4-3-1 37 4-3-2 42 i 4-3-3 47 5 52 53 54 55 ii 1 VHDL IC VHDL 5 2 3 IC 4 5 1 2

More information

Unconventional HDL Programming ( version) 1

Unconventional HDL Programming ( version) 1 Unconventional HDL Programming (20090425 version) 1 1 Introduction HDL HDL Hadware Description Language printf printf (C ) HDL 1 HDL HDL HDL HDL HDL HDL 1 2 2 2.1 VHDL 1 library ieee; 2 use ieee.std_logic_1164.all;

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli

U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE netli 1 -- 7 7 2008 12 7-1 7-2 c 2011 1/(12) 1 -- 7 -- 7 7--1 2008 12 1960 1970 1972 U.C. Berkeley SPICE Simulation Program with Integrated Circuit Emphasis 1) SPICE SPICE 7--1--1 7 1 7 1 1 netlist SPICE 2)

More information

2-工業会活動.indd

2-工業会活動.indd 工業会活動 ~SAE(Society of Automotive Engineers) 委員会参加報告 ~ 1. はじめに SAE RTCA Radio Technical Commission for Aeronautics ARINC Aeronautical Radio, Incorporated SAE RTCA ARINC FAA Federal Aviation Administration

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

1 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15. 1. 2. 3. 16 17 18 ( ) ( 19 ( ) CG PC 20 ) I want some rice. I want some lice. 21 22 23 24 2001 9 18 3 2000 4 21 3,. 13,. Science/Technology, Design, Experiments,

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

MAAB でのプレゼンテーション に関する提案

MAAB でのプレゼンテーション に関する提案 J-MAAB 2005 10 27 (1) OBD (2) (3) (4) OEM (5) MAAB J-MAAB 1. MBD (Model-Based Development) MBD Process 2. MAAB MAAB 3. J (Japan) -MAAB J-MAAB 4. J-MAAB WG WG 5. MBD Virtual World *SILS Rapid *HILS Real

More information

IPSJ SIG Technical Report Vol.2012-EMB-26 No /9/10 1,a) 1,b) 1,c) 1,d) 1,e) 1,f) 1,g) 5 3D HILS HILS An Integrated Driving Simulator for Interdi

IPSJ SIG Technical Report Vol.2012-EMB-26 No /9/10 1,a) 1,b) 1,c) 1,d) 1,e) 1,f) 1,g) 5 3D HILS HILS An Integrated Driving Simulator for Interdi 1,a) 1,b) 1,c) 1,d) 1,e) 1,f) 1,g) 5 3D HILS HILS An Integrated Driving Simulator for Interdisciplinary Education of Automotive Science in Kyushu University Makoto Uchiyama 1,a) Taketoshi Kawabe 1,b) Katsumi

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

Vol.53 No (Mar. 2012) 1, 1,a) 1, 2 1 1, , Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a

Vol.53 No (Mar. 2012) 1, 1,a) 1, 2 1 1, , Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a 1, 1,a) 1, 2 1 1, 3 2 1 2011 6 17, 2011 12 16 Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a) Kazuki Kanamori 1, 2 Mie Nakatani 1 Hirokazu Kato 1, 3 Sanae H. Wake 2 Shogo Nishida

More information

pamphlet_h1

pamphlet_h1 Symposium on Automotive Embedded Systems Development 2015 http://www.sotuu.net/etas/emsyssymposium2015.html Symposium2015.JP@etas.com 2 9:45-10:00 10:00-10:40 10:40-11:40 13:00-13:40 13:40-14:20 14:20-15:00

More information

5005-toku3.indd

5005-toku3.indd 3 1 CMMICMM Capability Maturity Model ISO : International Organization for Standardization IEC : International Electrotechnical CommissionJTC1 : Joint Technical Committee 1SC7 : Sub Committee 7 SC7 WG

More information

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW

DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IW DC-DC Control Circuit for Single Inductor Dual Output DC-DC Converter with Charge Pump (AKM AKM Kenji TAKAHASHI Hajime YOKOO Shunsuke MIWA Hiroyuki IWASE Nobukazu TAKAI Haruo KOBAYASHI Takahiro ODAGUCHI

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

パナソニック技報

パナソニック技報 Smaller, Lighter and Higher-output Lithium Ion Battery System for Series Hybrid Shinji Ota Jun Asakura Shingo Tode 24 ICECU Electronic Control Unit46 16 We have developed a lithium-ion battery system with

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

fiš„v8.dvi

fiš„v8.dvi (2001) 49 2 333 343 Java Jasp 1 2 3 4 2001 4 13 2001 9 17 Java Jasp (JAva based Statistical Processor) Jasp Jasp. Java. 1. Jasp CPU 1 106 8569 4 6 7; fuji@ism.ac.jp 2 106 8569 4 6 7; nakanoj@ism.ac.jp

More information

Lyra 2 2 2 X Y X Y ivis Designer Lyra ivisdesigner Lyra ivisdesigner 2 ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) (1) (2) (3) (4) (5) Iv Studio [8] 3 (5) (4) (1) (

Lyra 2 2 2 X Y X Y ivis Designer Lyra ivisdesigner Lyra ivisdesigner 2 ( 1 ) ( 2 ) ( 3 ) ( 4 ) ( 5 ) (1) (2) (3) (4) (5) Iv Studio [8] 3 (5) (4) (1) ( 1,a) 2,b) 2,c) 1. Web [1][2][3][4] [5] 1 2 a) ito@iplab.cs.tsukuba.ac.jp b) misue@cs.tsukuba.ac.jp c) jiro@cs.tsukuba.ac.jp [6] Lyra[5] ivisdesigner[6] [7] 2 Lyra ivisdesigner c 2012 Information Processing

More information

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi ODA Department of Human and Mechanical Systems Engineering,

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

/ , ,908 4,196 2, ,842 38, / / 2 33 /

/ , ,908 4,196 2, ,842 38, / / 2 33 / MathWorks Automotive Conference 2014 ( ) ECU 0.1. 1 /30 1949 12 16 1,874 4 959 2 4,908 4,196 2,993 139,842 38,581 62 26 35 56 / 6 185 13 4 3 11 / 2 33 / 2014 3 31 0.1. 2 /30 ETC 0.2. 3 /30 1. 1. 2. 2.

More information

修士論文

修士論文 27 Mobile Ad Hoc Networks An Ant-based Routing Algorithm with Multi-phase Pheromone and Power-saving in Mobile Ad Hoc Networks 14T0013 Shohei Miyashita E-mail: shohei.miyashita.4j@stu.hosei.ac.jp : Abstract

More information

JAB RL504:201 JAB NOTE 4 2 January 25, A B

JAB RL504:201 JAB NOTE 4 2 January 25, A B JAB RL504:201 JAB NOTE 4 JAB RL504:201 2201125 1200025 200-0-25-1/- 2 201-01-25 JAB RL504:201 JAB NOTE 4 2 January 25, 201............... 6 5.1... 6 5.2... 6 5. A... 7 5.4 B... 7 5.5... 8 5.6... 9 5.7...

More information

MLA8取扱説明書

MLA8取扱説明書 (5)-2 2 (5)-2 3 (5)-2 4 5 2 3 4 5 6 7 1 2 3 4 5 6 7 8 POWER ON / OFF 1 1 n 2 3 4 5 6 7 n 6 AC IN 8 MODEL MAL8 MADE IN INDONESIA 7 6 5 4 OUTPUT +4dBu ANALOG OUTPUT +4dBu G G 3 2 1 8 7 6 5 INPUT 4 3 2 1

More information

gengo.dvi

gengo.dvi 4 97.52% tri-gram 92.76% 98.49% : Japanese word segmentation by Adaboost using the decision list as the weak learner Hiroyuki Shinnou In this paper, we propose the new method of Japanese word segmentation

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

2 ( 3 2 ) DoE 2) ) (D ) y (x 1, x 2, xj,, x D ) 3 N Q i i l j X i, j 1 4 (x y ) 4 DoE 4 1σ ( 4 ) 3 4 ( ) ( )

2 ( 3 2 ) DoE 2) ) (D ) y (x 1, x 2, xj,, x D ) 3 N Q i i l j X i, j 1 4 (x y ) 4 DoE 4 1σ ( 4 ) 3 4 ( ) ( ) HiL Thomas KRUSE Holger ULMER Tobias KREUZINGER Tobias LANG 2-3-5 C 17F ETAS K.K., Queen s Tower C-17F 2-3-5, Minatomirai, Nishi-ku, Yokohama, Kanagawa, Japan Robert Bosch GmbH, Diesel Gasoline Systems

More information

main.dvi

main.dvi FDTD S A Study on FDTD Analysis based on S-Parameter 18 2 7 04GD168 FDTD FDTD S S FDTD S S S S FDTD FDTD i 1 1 1.1 FDTD.................................... 1 1.2 FDTD..................... 3 2 S 5 2.1 FDTD

More information

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3)

H(ω) = ( G H (ω)g(ω) ) 1 G H (ω) (6) 2 H 11 (ω) H 1N (ω) H(ω)= (2) H M1 (ω) H MN (ω) [ X(ω)= X 1 (ω) X 2 (ω) X N (ω) ] T (3) 72 12 2016 pp. 777 782 777 * 43.60.Pt; 43.38.Md; 43.60.Sx 1. 1 2 [1 8] Flexible acoustic interface based on 3D sound reproduction. Yosuke Tatekura (Shizuoka University, Hamamatsu, 432 8561) 2. 2.1 3 M

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

IPSJ SIG Technical Report Vol.2015-MUS-107 No /5/23 HARK-Binaural Raspberry Pi 2 1,a) ( ) HARK 2 HARK-Binaural A/D Raspberry Pi 2 1.

IPSJ SIG Technical Report Vol.2015-MUS-107 No /5/23 HARK-Binaural Raspberry Pi 2 1,a) ( ) HARK 2 HARK-Binaural A/D Raspberry Pi 2 1. HARK-Binaural Raspberry Pi 2 1,a) 1 1 1 2 3 () HARK 2 HARK-Binaural A/D Raspberry Pi 2 1. [1,2] [2 5] () HARK (Honda Research Institute Japan audition for robots with Kyoto University) *1 GUI ( 1) Python

More information

八戸工大ドリームゲート16p.indd

八戸工大ドリームゲート16p.indd Hachinohe Institute of Technology Dream Gate 2015 Department of Biotechnology and Environmental Engineering Department of Electrical and Electronic Systems Department of KANSEI Design Department of System

More information

analog-control-mod : 2007/2/4(8:44) 2 E8 P M () r e K P ( ) T I u K M T M K D E8.: DC PID K D E8. (E8.) P M () E8.2 K P D () ( T ) (E8.2) K M T M K, T

analog-control-mod : 2007/2/4(8:44) 2 E8 P M () r e K P ( ) T I u K M T M K D E8.: DC PID K D E8. (E8.) P M () E8.2 K P D () ( T ) (E8.2) K M T M K, T analog-control-mod : 2007/2/4(8:44) E8 E8. PID DC. PID 2. DC PID 3. E8.2 DC PID C8 E8. DC PID E6 DC P M () K M ( T M ) (E8.) DC PID C8 E8. r e u E8.2 PID E8. PID analog-control-mod : 2007/2/4(8:44) 2 E8

More information

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric

IIC Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric IIC-1-19 Proposal of Range Extension Control System by Drive and Regeneration Distribution Based on Efficiency Characteristic of Motors for Electric Vehicle Toru Suzuki, Hiroshi Fujimoto (Yokohama National

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

デジタルICの電源ノイズ対策・デカップリング

デジタルICの電源ノイズ対策・デカップリング RoHS RoHS 2011/65/EU RoHS Web RoHS http://www.murata.co.jp/info/rohs.html IC 1-1 IC Power Distribution Network PDN 1-2 Power Integrity PI 1) 2) 1-3 3) 4) 5) 1-4 1 2 IC IC IC 1-3 1-3 (1) (2) (3) 3 IC

More information

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO

Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO Synthesis and Development of Electric Active Stabilizer Suspension System Shuuichi BUMA*6, Yasuhiro OOKUMA, Akiya TANEDA, Katsumi SUZUKI, Jae-Sung CHO and Masaru KOBAYASHI Chassis Engineering Management

More information

本文6(599) (Page 601)

本文6(599) (Page 601) (MIRU2008) 2008 7 525 8577 1 1 1 E-mail: matsuzaki@i.ci.ritsumei.ac.jp, shimada@ci.ritsumei.ac.jp Object Recognition by Observing Grasping Scene from Image Sequence Hironori KASAHARA, Jun MATSUZAKI, Nobutaka

More information

03-3817-5670FAX 03-3815-8199 IEC IPA SEC (JIS X (JIS X IEC IEC IEC SIL(Safety Integrity Level)SIL SIL SILSIL IEC AD A B C D IEC ETC ETC V TV HDD GB GB HDD AECL LINAC e X x FTAFault Free Analysis SAFEWAREN

More information

高周波同軸コネクタ

高周波同軸コネクタ RF circuit ANT Probe Signal in Signal out Probe Signal out Signal in RF circuit ANT Probe Probe Signal in Signal out Signal out Signal in RF ANT. RF ANT. Probe Probe Signal out Signal out Signal in Signal

More information

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori

Table 1. Assumed performance of a water electrol ysis plant. Fig. 1. Structure of a proposed power generation system utilizing waste heat from factori Proposal and Characteristics Evaluation of a Power Generation System Utilizing Waste Heat from Factories for Load Leveling Pyong Sik Pak, Member, Takashi Arima, Non-member (Osaka University) In this paper,

More information

2

2 8 23 32A950S 30 38 43 52 2 3 23 40 10 33 33 11 52 4 52 7 28 26 7 8 8 18 5 6 7 9 8 17 7 7 7 38 10 12 9 23 22 22 8 53 8 8 8 8 1 2 3 17 11 52 52 19 23 29 71 29 41 55 22 22 22 22 22 55 8 18 31 9 9 54 71 44

More information

2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205

2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205 252 5210 3 1 1 e-mail: morita.yasuhiro@jaxa.jp 9 1. 1 F1 1 204 2015 4 2 2. 13 2 1 1 PBS: Post-Boost Stage 1.2 2 30 JAXA 49 2 108 4 205 IT IT 3 2 JAXA 2 3 1 2 2 2 3 2 206 2015 4 C/C 2 3. 3.1 1 SRB-A H2A

More information

9.プレゼン資料(小泉)R1

9.プレゼン資料(小泉)R1 1 Me-DigIT 2 TRO, TMECH Interesting Readings IJMRCAS, TUFFC The Most 3., etc.. etc.. etc. 4 TRO09 5 J TRO09 The Most Interesting Readings J http://www.learner.org/interactives/renaissance/printing.html

More information

まえがきIntroduction

まえがきIntroduction Array Corporation DICOM Pro Server DICOM 3.0 Conformance Statement 0. INTRODUCTION...3 1. IMPLEMENTATION MODEL...4 1.1...4 1.2...4 1.3...5 2. AE SPECIFICATIONS...6 2.1 DICOM Pro Server...6 2.1.1... 7 2.1.2...

More information

ばらつき抑制のための確率最適制御

ばらつき抑制のための確率最適制御 ( ) http://wwwhayanuemnagoya-uacjp/ fujimoto/ 2011 3 9 11 ( ) 2011/03/09-11 1 / 46 Outline 1 2 3 4 5 ( ) 2011/03/09-11 2 / 46 Outline 1 2 3 4 5 ( ) 2011/03/09-11 3 / 46 (1/2) r + Controller - u Plant y

More information

平成26年度 学生要覧

平成26年度 学生要覧 Department of Mechanical Engineering Department of Electrical and Electronic Systems Department of System Information Engineering Department of Biotechnology and Environmental Engineering Department of

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

DEIM Forum 2010 D Development of a La

DEIM Forum 2010 D Development of a La DEIM Forum 2010 D5-3 432-8011 3-5-1 E-mail: {cs06062,cs06015}@s.inf.shizuoka.ac.jp, {yokoyama,fukuta,ishikawa}@.inf.shizuoka.ac.jp Development of a Large-scale Visualization System Based on Sensor Network

More information

2

2 Copyright 2008 Nara Institute of Science and Technology / Osaka University 2 Copyright 2008 Nara Institute of Science and Technology / Osaka University CHAOS Report in US 1994 http://www.standishgroup.com/sample_research/

More information

Hansen 1 2, Skinner 5, Augustinus 6, Harvey 7 Windle 8 Pels 9 1 Skinner 5 Augustinus 6 Pels 9 NL Harvey ML 11 NL

Hansen 1 2, Skinner 5, Augustinus 6, Harvey 7 Windle 8 Pels 9 1 Skinner 5 Augustinus 6 Pels 9 NL Harvey ML 11 NL HANAOKA, Shinya 1 3 Hansen1, 2 1 2 3 Hansen 2 3 4 5 2 2.1 002 Vol.5 No.4 2003 Winter 3 4 2.2 Hansen 1 2, Skinner 5, Augustinus 6, Harvey 7 Windle 8 Pels 9 1 Skinner 5 Augustinus 6 Pels 9 NL Harvey 10 2.3

More information

Study on Throw Accuracy for Baseball Pitching Machine with Roller (Study of Seam of Ball and Roller) Shinobu SAKAI*5, Juhachi ODA, Kengo KAWATA and Yu

Study on Throw Accuracy for Baseball Pitching Machine with Roller (Study of Seam of Ball and Roller) Shinobu SAKAI*5, Juhachi ODA, Kengo KAWATA and Yu Study on Throw Accuracy for Baseball Pitching Machine with Roller (Study of Seam of Ball and Roller) Shinobu SAKAI*5, Juhachi ODA, Kengo KAWATA and Yuichiro KITAGAWA Department of Human and Mechanical

More information

36 581/2 2012

36 581/2 2012 4 Development of Optical Ground Station System 4-1 Overview of Optical Ground Station with 1.5 m Diameter KUNIMORI Hiroo, TOYOSHMA Morio, and TAKAYAMA Yoshihisa The OICETS experiment, LEO Satellite-Ground

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

7VGA 7VGA 50 50 User Interface Scan Speed

7VGA 7VGA 50 50 User Interface Scan Speed FULL COLOR DIGITAL 7VGA 7VGA 50 50 User Interface Scan Speed High Quality Color Copy Fax / Internet-Fax Network Color Scanner Color Printer Document Filing Security 1 Management Universal Design Option

More information

Fig. 1 Schematic construction of a PWS vehicle Fig. 2 Main power circuit of an inverter system for two motors drive

Fig. 1 Schematic construction of a PWS vehicle Fig. 2 Main power circuit of an inverter system for two motors drive An Application of Multiple Induction Motor Control with a Single Inverter to an Unmanned Vehicle Propulsion Akira KUMAMOTO* and Yoshihisa HIRANE* This paper is concerned with a new scheme of independent

More information

PowerPoint

PowerPoint 2011 12 6PTC Live TechForum TOKYO manji@rd.denso.co.jp 1/ 52 1949 12 16 1,874 ( ) 1 9,457 3 1,315 ( ) 38,318 123,165 ( ) 2011 3 31 Gr. Gr. Gr. Gr. Gr. PF 2/ 52 1 2 3 4PMT 5 Integrity 6 7 8 1/2 3/ 52 OEM

More information

Journal of the Combustion Society of Japan Vol.51 No.155 (2009) FEATURE Clarification of Engine Combustion and the Evolution デ

Journal of the Combustion Society of Japan Vol.51 No.155 (2009) FEATURE Clarification of Engine Combustion and the Evolution デ 51 155 2009 23-30 Journal of the Combustion Society of Japan Vol.51 No.155 (2009) 23-30 FEATURE Clarification of Engine Combustion and the Evolution ディーゼルエンジン燃焼の課題と今後 Diesel Combustion Challenge and Future

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

,, X Handy Type X Ray Fluorescence Element Analyzer Jun Kawai Department of Materials Science and Engineering, Kyoto University Handy X r

,, X Handy Type X Ray Fluorescence Element Analyzer Jun Kawai Department of Materials Science and Engineering, Kyoto University Handy X r ,, 5125172011 X HandyType XRay Fluorescence Element Analyzer Jun Kawai Department of Materials Science and Engineering, Kyoto University Handy Xray fluorescence (XRF) spectrometers are reviewed. A brief

More information

2. IEC61508 ISO WD IEC6150 SIL( Safety Integrity Level ) ISO WD2626 ASIL( Automotive Safety Integrity Level ) SIL/ASIL (tolerable risk) (Residu

2. IEC61508 ISO WD IEC6150 SIL( Safety Integrity Level ) ISO WD2626 ASIL( Automotive Safety Integrity Level ) SIL/ASIL (tolerable risk) (Residu Consideration of requirement of decomposition for a safety related system NEC IEC61508 ISO 26262 We considered the concept of system decomposition paying attention to the decomposition concept of the system

More information

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig

Table 1. Reluctance equalization design. Fig. 2. Voltage vector of LSynRM. Fig. 4. Analytical model. Table 2. Specifications of analytical models. Fig Mover Design and Performance Analysis of Linear Synchronous Reluctance Motor with Multi-flux Barrier Masayuki Sanada, Member, Mitsutoshi Asano, Student Member, Shigeo Morimoto, Member, Yoji Takeda, Member

More information

untitled

untitled CMOS 376-851511 0277 (30) 1788 0277 (30)1707 e-mail: k_haruo@el.gunma-u.ac.jp AD AD AD [] AD AD AD [] ISSCC 2007 TSMC ISSCC2007 ISSCC2007 /DAC (regulation) (AGC) ADC/DAC AD AD AD [] AD CMOS SAR ADC Gr),,

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

第 55 回自動制御連合講演会 2012 年 11 月 17 日,18 日京都大学 1K403 ( ) Interpolation for the Gas Source Detection using the Parameter Estimation in a Sensor Network S. T

第 55 回自動制御連合講演会 2012 年 11 月 17 日,18 日京都大学 1K403 ( ) Interpolation for the Gas Source Detection using the Parameter Estimation in a Sensor Network S. T 第 55 回自動制御連合講演会 212 年 11 月 日, 日京都大学 1K43 () Interpolation for the Gas Source Detection using the Parameter Estimation in a Sensor Network S. Tokumoto, T. Namerikawa (Keio Univ. ) Abstract The purpose of

More information

2010 T1L ( ) T1L T1L I ,4,5 35 T1L II ,4,5 36 T1L T1L T1L1

2010 T1L ( ) T1L T1L I ,4,5 35 T1L II ,4,5 36 T1L T1L T1L1 2010 2010 syll mksyltex Ver 2.25(2010-11-26) by Yas T1Y016001 2.0 1 5 3 T1Y016002 2.0 1 5 3 T1Y016003 2.0 1 5 4 T1Y016004 2.0 1 5 5 T1Y016005 2.0 1 5 UEDA EDILSON SHINDI 5 T1L001001 2.0 1 2 6 T1L002001

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25

JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT JIS Z 9001:1998JIS Z 9002:1998 ISO/IEC 17025ISO/IEC Guide 25 JIS Q 17025 IDT, ISO/IEC 17025 IT IT JIS Q 17025ISO/IEC 17025 (2) JIS Z 9358 ISO/IEC Guide 58 (3) testing

More information

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju

EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Ju EQUIVALENT TRANSFORMATION TECHNIQUE FOR ISLANDING DETECTION METHODS OF SYNCHRONOUS GENERATOR -REACTIVE POWER PERTURBATION METHODS USING AVR OR SVC- Jun Motohashi, Member, Takashi Ichinose, Member (Tokyo

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

No EV 26 Development of Crash Safety Performance for EV Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEV Hybrid Electric Ve

No EV 26 Development of Crash Safety Performance for EV Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEV Hybrid Electric Ve No.302012 EV 26 Development of Crash Safety Performance for EV 1 2 3 Ichiro Kamimoto Masaki Motoki Masaki Ueno SKYACTIV engine HEVHybrid Electric VehicleBEVBattery Electric Vehicle, Summary There are growing

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

5 7 3AS40AS 33 38 45 54 3 4 5 4 9 9 34 5 5 38 6 8 5 8 39 8 78 0 9 0 4 3 6 4 8 3 4 5 9 5 6 44 5 38 55 4 4 4 4 5 33 3 3 43 6 6 5 6 7 3 6 0 8 3 34 37 /78903 4 0 0 4 04 6 06 8 08 /7 AM 9:3 5 05 7 07 AM 9

More information

No.7, (2006) 2 Electronic Funds Transfer as the Foundation of the Monetary Value of Electronic Money - A Study on the JBA 1 Integrated Circuit

No.7, (2006) 2 Electronic Funds Transfer as the Foundation of the Monetary Value of Electronic Money - A Study on the JBA 1 Integrated Circuit No.7,321-332 (2006) 2 Electronic Funds Transfer as the Foundation of the Monetary Value of Electronic Money - A Study on the JBA 1 Integrated Circuit Cash Card Standard Specifications (Second Edition)

More information

橡上野先生訂正2

橡上野先生訂正2 (SIS) NII) 101-8430 tel 03-4212-2516 E-mail ueno@nii.ac.jp 1 NII 2 (symbiosis) 2 (parasitism) 2 Knowledge Creation The Symbiotic partnership of University, Government and Industry, Proc. Information Environment

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

Infoprint 250 GA

Infoprint 250 GA Infoprint 250 GA88-0164-00 Infoprint 250 GA88-0164-00 ! viii 2000 5 Copyright International Business Machines Corporation 2000. All rights reserved. ii Infoprint 250 ...III... VI...VII... VIII... VIII...IX

More information

DEIM Forum 2012 E Web Extracting Modification of Objec

DEIM Forum 2012 E Web Extracting Modification of Objec DEIM Forum 2012 E4-2 670 0092 1 1 12 E-mail: nd11g028@stshse.u-hyogo.ac.jp, {dkitayama,sumiya}@shse.u-hyogo.ac.jp Web Extracting Modification of Objects for Supporting Map Browsing Junki MATSUO, Daisuke

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

IPSJ SIG Technical Report Vol.2013-GN-87 No /3/ Research of a surround-sound field adjustmen system based on loudspeakers arrangement Ak

IPSJ SIG Technical Report Vol.2013-GN-87 No /3/ Research of a surround-sound field adjustmen system based on loudspeakers arrangement Ak 1 1 3 Research of a surround-sound field adjustmen system based on loudspeakers arrangement Akiyama Daichi 1 Kanai Hideaki 1 Abstract: In this paper, we propose a presentation method that does not depend

More information

三石貴志.indd

三石貴志.indd 流通科学大学論集 - 経済 情報 政策編 - 第 21 巻第 1 号,23-33(2012) SIRMs SIRMs Fuzzy fuzzyapproximate approximatereasoning reasoningusing using Lukasiewicz Łukasiewicz logical Logical operations Operations Takashi Mitsuishi

More information

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat

6 2. AUTOSAR 2.1 AUTOSAR AUTOSAR ECU OSEK/VDX 3) OSEK/VDX OS AUTOSAR AUTOSAR ECU AUTOSAR 1 AUTOSAR BSW (Basic Software) (Runtime Environment) Applicat AUTOSAR 1 1, 2 2 2 AUTOSAR AUTOSAR 3 2 2 41% 29% An Extension of AUTOSAR Communication Layers for Multicore Systems Toshiyuki Ichiba, 1 Hiroaki Takada, 1, 2 Shinya Honda 2 and Ryo Kurachi 2 AUTOSAR, a

More information

EtherChannelの設定

EtherChannelの設定 CHAPTER 30 EtherChannel Catalyst 3750 2 3 EtherChannel EtherChannel EtherChannel EtherChannel EtherChannel EtherChannel EtherChannel p.30-2 EtherChannel p.30-11 EtherChannel PAgP LACP p.30-23 Catalyst

More information

MmUm+FopX m Mm+Mop F-Mm(Fop-Mopum)M m+mop MSuS+FX S M S+MOb Fs-Ms(Mobus-Fex)M s+mob Fig. 1 Particle model of single degree of freedom master/ slave sy

MmUm+FopX m Mm+Mop F-Mm(Fop-Mopum)M m+mop MSuS+FX S M S+MOb Fs-Ms(Mobus-Fex)M s+mob Fig. 1 Particle model of single degree of freedom master/ slave sy Analysis and Improvement of Digital Control Stability for Master-Slave Manipulator System Koichi YOSHIDA* and Tetsuro YABUTA* Some bilateral controls of master-slave system have been designed, which can

More information