h-hwang11phdthesis-RealizingName.pptx

Size: px
Start display at page:

Download "h-hwang11phdthesis-RealizingName.pptx"

Transcription

1 黄 大 大 大 用目 手 一 大 高 士 文 目 士 文 比 士 文 士 文 士 文 黄 山 一 田 比 用 子 黄 山 一 田 力 子 士 文

2 本章の背景および目的 提案手法の概要 高速なパケット転送 分類のために使用されている TCAM の問題点 高い消費電力 チップのコスト アクセス制御リスト (access control list; ACL) フィールドの中 ポート番号の範囲表現問題 TCAM 容量の消費量増加 ACL が占める TCAM の容量を削減 し TCAM の消費電力とコストを下げる TCAM の特性を把握し 名前に基づくルーティングに用いる TCAM: Ternary Content Addressable Memory 7 範囲比較回路 range matching device; RMD ポート番号の範囲 ROM ~ TO を格納し 入力 パケットのポート番号が範囲内にあるのかを判定 最適化されたプレフィックス展開 (prefix expansion; PE), PE-MIN AND と NOT ゲートを使用し 従来の PE を改善 PE の例 8 ~ 15 1 TCAM のメモリ セルが 0, 1, の 三つの状態を表現 できることを使用 プレフィックス展開アルゴリズム 8 TCAM + 論理 NOT/AND 追加回路 ポートの範囲指定の例 5000 ~ 6000 PE-OR: 従来のプレフィックス展開 B D A C A B C D E G I 論理 NOT G J 6000 H E H I J 論理 AND (4 Set) 10行 PE-MIN: 提案方式のプレフィックス展開 5000: : : C (A B) 5120 ( C D 6000 D E E) "" " " # $% &' () ** )( '& %$ CD0E%1GHI4 I:9J(E1GHI4 CD0J(E1GHI4 & ' &( ") ** #& #' )( $) (* %& """ CD0E1"% :9E1"' CD0E1"' """" b) &% &$ &# &" & % $ # " &% &$ &# &" & % $ # " D E) 6行 PE-MIN の結果を格納するために 既存の TCAM に NOT と AND の論理ゲートを追加 10 CD0E%1GHI8J+ K:9E(1GHI8J+ CD0E(1GHI8J+ Control Logic : GLUE + ColDrv = 8% 305K Gates & ' &( ") ** #& #' )( $) (* %& use 1% ColDrv 2% <TCAM VLSI in 90nm Technology> GLDrv 1% Etc 8% RowDec 4% GLUE 6% TCAM array 48% IO WD/PRE/LSL a) プレフィックス展開 (PE) 無し 3,171,537 0 約500行 35 b) PE-OR 1,072 0 約500行 8 c) PE-MIN 約500行 6 ( C VLSI 上に占める面積の検証 c) 大阪大学の ACL データベースを TCAM に格納する際 に必要なエントリ数 括弧内は RMD の数 B) a) """"" 6行 9 RMD によるエントリ削減効果 """""" """"""" (A B A PE Match_Amp 9% RMD: 580 Gates x 20 RMDs = 11.6K Gates Current TCAM : TCAM with RMD = 100 : 既存の TCAM に RMD を 20個搭載する 場合 シリコンオーバヘッドは 0.3% 増加

3 比 用 用 用 行 用面 力 用 用 黄 田 一 子 黄 田 子 士 文 士 文 目 行 手 長 長 文 方 用 一 用 方 用 士 文 士 文 jp.h* ~ k* jp.l* ~ o* jp.t*.h* ~ k* jp.d* ~ g* jp.p* ~ s* jp.t*.d* ~ g* jp.t*.l* ~ o* jp.t*.p* ~ s* jp.a* ~ c* jp.t* ~ w* jp.t*.a* ~ c* jp.t*.t* ~ w* jp.-* ~ [0-9]* jp.x* ~ z* jp.t*.-* ~ [0-9]* jp.t*.x* ~ z* other TLDs jp.u*.* jp.v*.* jp.w*.* 士 文 jp.(#1) jp.(#12) jp.(#15).(#10) jp.(#6) jp.(#14) jp.(#15).(#2) jp.(#15).(#8) jp.(#15).(#5) jp.(#8) jp.(#15) jp.(#15).(#1) jp.(#7) jp.(#15).(#7) jp.(#9) other TLDs jp.(#15).(#...) 士 文

4 用 )*+,-.#/0#.-1*2.-3#./*4-.5# """# ""# 大 用 比 92-.:.;72;:8#8/<=-54#:8>7:,-4#+:4;72<=# 9?,.23#3254.2,*42/<# "# "$%# "$&# "$'# "$# (# 用 /83# 士 文 方 二 士 文 目 黄 田 子 士 文 %&'(&)"*+#,"-&./0&*/11/'0-2 一 ### ## # "#$%&&'%($)*+,-"$./$01203 %&'&()*+,(-%./(01./(2.3%/(04'5*&)' ""# $### $## $#$# "#$ 大 士 文 行 方 一 十 工 requency of occurance Large Mid Real Hashtags ranking 士 文 士 文

5 手 用 一 一 士 文 高 用 士 文 Cost ($) Actual Cost Approx. Cost Row length of SRAM (bit) (µs) Cost ($) Actual Cost Approx. Cost Row length of DRAM (bit) 用 比 (µs) 用 (µs) Utilization Number of TCAMs in a router Utilization (%) 長 用 用 (µs) Utilization Number of SRAMs in a router Utilization (%) 士 文 士 文 大 一 高 用 高 比 用 二 行 士 文 士 文

koboデスクトップアプリ ユーザーガイド

koboデスクトップアプリ ユーザーガイド 1 目... 4... 5 用... 6 用... 8 子 入... 10... 13 2 ... 13... 13 子... 16 子... 18... 19... 22 3 用 子 子 4 子 子 5 用 用 子 用 6 用 1. 2. 用 3. 4. 5. 面 行行 7 用 用 子 用 8 用 1. 2. 用 3. 4. 自 5. 9 子 入 方 見見 見見 入 入 入 子 子 子 10 見見

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

-----------------------------------------------------------------------------------------1 --------------------------------------------------------------------------------------1 -------------------------------------------------------------------------------------1

More information

Catalyst 3850 スイッチのセキュリティ ACL TCAM 枯渇のトラブルシューティング

Catalyst 3850 スイッチのセキュリティ ACL TCAM 枯渇のトラブルシューティング Catalyst 3850 スイッチのセキュリティ ACL TCAM 枯渇のトラブルシューティング 目次 概要背景説明問題解決策 Catalyst 3850 スイッチのセキュリティ ACL TCAM のトラブルシューティング 概要 Catalyst 3850 スイッチはハードウェアのセキュリティアクセスコントロールリスト (ACL) がどのように実装されている そしてどのようにセキュリティ Ternary

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

一 羽 田 木 行行 手 手 方

一 羽 田 木 行行 手 手 方 支 月 日 日 長 革 足 力力 生 手 革 日 長 木 人 日 生 青 生 日 力力 生 人 力力 生 方 力力 大 一 方 大 目 革 力力 日 羽 田 日 日 一 羽 田 木 行行 手 手 方 高 日 長 革 羽 田 羽 田 立立 日 一 日 大 入 羽 田 日 行行 首 玄 口 木 西 日 大 日 一 用 入 生 羽 田 羽 田 立立 日 一 日 大 入 手 自 用 人 手 自 力力 小 口

More information

Microsoft Word - .....J.^...O.|Word.i10...j.doc

Microsoft Word - .....J.^...O.|Word.i10...j.doc P 1. 2. R H C H, etc. R' n R' R C R'' R R H R R' R C C R R C R' R C R' R C C R 1-1 1-2 3. 1-3 1-4 4. 5. 1-5 5. 1-6 6. 10 1-7 7. 1-8 8. 2-1 2-2 2-3 9. 2-4 2-5 2-6 2-7 10. 2-8 10. 2-9 10. 2-10 10. 11. C

More information

橡ボーダーライン.PDF

橡ボーダーライン.PDF 1 ( ) ( ) 2 3 4 ( ) 5 6 7 8 9 10 11 12 13 14 ( ) 15 16 17 18 19 20 ( ) 21 22 23 24 ( ) 25 26 27 28 29 30 ( ) 31 To be or not to be 32 33 34 35 36 37 38 ( ) 39 40 41 42 43 44 45 46 47 48 ( ) 49 50 51 52

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 11 週 制御アーキテクチャ メモリの仕組 2013 年 12 月 4 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ

More information

102

102 5 102 5 103 q w 104 e r t y 5 u 105 q w e r t y u i 106 o!0 io!1 io q w e r t y 5 u 107 i o 108 q w e q w e r 5 109 q w 110 e r t 5 y 111 q w e r t y u 112 i q w e r 5 113 q w e 114 r t 5 115 q w e 116

More information

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1

2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 1 2 1997 1M SRAM 1 25 ns 1 100 250 1,000 DRAM 60 120 ns 50 5 10 50 10 20 ms 5,000,000 0.1 0.2 1 CPU 1 1 2 2 n CPU SRAM DRAM CPU 3 4 5 6 7 N+ N+ P SRAM DRAM 8 Computer Architecture 9 DRAM 3 4 10 11 Ta 2

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 2017 年度前期 第 4 回 前回の話 コンピュータバスの構成 データバス I/O (Input/ Output) CPU メモリ アドレスバス コントロールバス コンピュータバスは コンピュータ本体 (CPU) と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である CPU は バス を制御して 複数のデバイス ( メモリや I/O)

More information

人芯経営論 ・・・リーダーシップ考②

人芯経営論 ・・・リーダーシップ考② 2009/12/15 2009/11/17 2009/11/16 2009/10/19 2009/10/15 2009/10/1 2009/9/17 2009/9/1 2009/8/17 2009/8/17 2009/8/14 2009/8/12 2009/7/28 2009/7/17 2009/7/15 2009/6/24 2009/6/18 2009/6/15 2009/5/20 2009/5/15

More information

Microsoft Word - Megalodon_JPN.doc

Microsoft Word - Megalodon_JPN.doc 目 用 用 8. 言 入 足 音 手 言 一 音 方 1. 2. Windows XP SP2 or higher / x64 / Vista / Vista64 3. 方 手 立 手 手 自 手 手 音 行 日 行 日 入 4. 音 金 工 音 力 大 入 力 皮 金 工 比 一 音 音 音 音 5. 用 日 見 力 日 行 音 音 音 力 力 行 一 音 音 音 音 音 音 音 音 手 方 音

More information

Microsoft Word - 佐藤歯科医院.doc

Microsoft Word - 佐藤歯科医院.doc 小 子 方 人 歯 長 長 大 歯 一 心 歯 日 歯 歯 口 阜 大 歯 歯 目 門 方 歯 日 目 歯 行行 自 方 高 方 入 歯 入 歯 口 食 歯 肉 歯 歯 肉 歯 肉 血 口 手 入 方 口 歯 歯 門 自 口 歯 月 土 高 高 歯 立立 口 高 口 欠 口 高 口 目 高 一 口 口 口 高 口 口 身 行行 力力 口 口 大 口 身 歯 口 入 口 生 口 血 行行 自 用 高

More information

E1-KRS_2

E1-KRS_2 大 力力 支 高 力力 自 高 音 一 二 高 音 生 一 小 小 自 見見 一 一 手 力力 音 音 立立 用 高 用 金金 方 用 高 生 用 一 一 自 車車 工 金金 工 力力 力力 用 高 力力 一 欠 高 音 生 入 力力 高 入 力力 入 力力 入 力力 力力 入 力力 入 力力 大 高 力力 一 欠 用 子 用 音 方 用 用 入 方 用 力力 用 音 音 色 一 目 大 力力 高

More information

untitled

untitled 186 17 100160250 1 10.1 55 2 18.5 6.9 100 38 17 3.2 17 8.4 45 3.9 53 1.6 22 7.3 100 2.3 31 3.4 47 OR OR 3 1.20.76 63.4 2.16 4 38,937101,118 17 17 17 5 1,765 1,424 854 794 108 839 628 173 389 339 57 6 18613

More information

untitled

untitled 1. 3 14 2. 1 12 9 7.1 3. 5 10 17 8 5500 4. 6 11 5. 1 12 101977 1 21 45.31982.9.4 79.71996 / 1997 89.21983 41.01902 6. 7 5 10 2004 30 16.8 37.5 3.3 2004 10.0 7.5 37.0 2004 8. 2 7 9. 6 11 46 37 25 55 10.

More information

スライド 1

スライド 1 SoC -SWG ATE -SWG 2004 2005 1 SEAJ 2 VLSI 3 How can we improve manageability of the divergence between validation and manufacturing equipment? What is the cost and capability optimal SOC test approach?

More information

アライドテレシス・コアスイッチ AT-x900 シリーズとディストリビューションスイッチ AT-x600 シリーズで実現するACLトラフィックコントロール

アライドテレシス・コアスイッチ AT-x900 シリーズとディストリビューションスイッチ AT-x600 シリーズで実現するACLトラフィックコントロール 主な目的 信頼性 可用性の高いネットワークを構築したい スパニングツリーを使わずに Layer2 の冗長をしたい 端末やネットワーク間の通信を制御したい 概要 VCS によるネットワークの冗長とアクセスリスト (ACL) の併用構成です ネットワークを構築する際 セキュリティ面からも通信の制御はかかせません 営業部のネットワークから 開発部のサーバーにはアクセスさせない というような情報漏洩対策の一環としての通信制御を行うことが一般的です

More information

nakayama15icm01_l7filter.pptx

nakayama15icm01_l7filter.pptx Layer-7 SDN SDN NFV 50 % 3 MVNO 1 2 ICM @ 2015/01/16 2 1 1 2 2 1 2 2 ICM @ 2015/01/16 3 2 Service Dependent Management (SDM) SDM Simple Management of Access-Restriction Translator Gateway (SMART-GW) ICM

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 13. メモリシステム ( 教科書 8 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ選択( 復習 ) MIPS の構造 PC 命令デコーダ 次 PC 計算 mux 32x32 ビットレジスタファイル

More information

Layout 1

Layout 1 Industrial communication センサーのデータにアクセスする ifm の IO-Link Digital connection technology for sensors とは? 今日のセンサーはシンプルな ON/OFF のセンサーから 大量のデータを処理するマイクロプロセッサーを搭載した高性能なデバイスまで進化してきました センサー内のデータにアクセスする IO-Link は以下の特徴があるインターフェースです

More information

用 2

用 2 木 子 用 2 用 女女 子 女女 子 身 長 力力 力力 力力 力力 3 身 長 力力 足 立立 止 力力 入 4 5 力力 骨 入 高 6 工 高 子 力力 高 支 7 小 入 見見 8 女女 子 力力 女女 子 女女 子 女女 子 見見 9 10 子 女女 子 入 女女 子 自 言 手 女女 子 子 11 女女 子 女女 子 人 12 人 力力 子 人 自 13 用 力力 自 人 用 入 14

More information

ゲートキーパー養成研修用テキスト(第3版)

ゲートキーパー養成研修用テキスト(第3版) 木 食 日 身 金金 金金 日 大 子 心 大 辛 心 心 食 生 心 生 - 123 - 方 自 辛 子 子 食 生 大 生 文 言 大 生 生 生 子 心 - 124 - 子 入 生 心 一 心 心 食 身 生 辛 行行 自 自 車車 - 125 - 手 一 辛 行行 自 心 金金 金金 大 金金 金金 金金 一 生 金金 子 自 - 126 - 支 方 大 目 食 心 父 見見 心 心 母 父

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

SMTP ルーティングの設定

SMTP ルーティングの設定 この章は 次の項で構成されています SMTP ルートの概要, 1 ページ ローカル ドメインの電子メールのルーティング, 2 ページ SMTP ルートの管理, 3 ページ SMTP ルートの概要 この章では Cisco コンテンツ セキュリティ管理アプライアンスを通過する電子メールのルーティ ングおよび配信に影響を与える機能 および [SMTP ルート SMTP Routes ] ページと smtproutes

More information

高速バックボーンネットワークにおける公平性を考慮した階層化パケットスケジューリング方式

高速バックボーンネットワークにおける公平性を考慮した階層化パケットスケジューリング方式 Advanced Network Architecture Research Group 高速バックボーンネットワークにおける 公平性を考慮した 階層化パケットスケジューリング方式 大阪大学大学院基礎工学研究科情報数理系専攻博士前期課程 牧一之進 発表内容 研究の背景 研究の目的 階層化パケットスケジューリング方式の提案 評価モデル シミュレーションによる評価 まとめと今後の課題 研究の背景 インターネットのインフラ化

More information

外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティング ループ/最適でないルーティングの設定例

外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティング ループ/最適でないルーティングの設定例 外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティングループ / 最適でないルーティングの設定例 目次 はじめに前提条件要件使用するコンポーネント背景説明重要な情報 RFC 1583 セクション 16.4.6 からの抜粋 RFC 2328 セクション 16.4.1 からの抜粋設定シナリオ 1 ネットワーク図シナリオ 2 ネットワーク図推奨事項確認トラブルシューティング関連情報

More information

[ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ ) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) JIS C6832 ( 石英系マルチモード光ファイバ素線 1995) IETF RFC791(Internet Protocol

[ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ ) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) JIS C6832 ( 石英系マルチモード光ファイバ素線 1995) IETF RFC791(Internet Protocol 技術的条件集別表 26.1 IP 通信網 ISP 接続用ルータ接続インタフェース仕様 ( IPv4 PPPoE 方式 -IPv6 機能部 ) 注 : 本別表については NTT 西日本のみの適用です [ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ 1998.5.20) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) JIS C6832 ( 石英系マルチモード光ファイバ素線

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

士 人 月 革 月 鹿鹿 身 鹿鹿 立立 月 鹿鹿 士 人 立立 月 人 士 人 月 田 田 立立 士 一 入 月 士 谷 口 入 月 士 入 月 田 立立 士 子 入 月 立立 月 立立 立立

士 人 月 革 月 鹿鹿 身 鹿鹿 立立 月 鹿鹿 士 人 立立 月 人 士 人 月 田 田 立立 士 一 入 月 士 谷 口 入 月 士 入 月 田 立立 士 子 入 月 立立 月 立立 立立 士 人 月 立立 士 月 士 人 月 日 谷 士 鹿鹿 山 田 田 田 西 田 田 八 立立 立立 士 金金 金金 士 人 月 革 月 鹿鹿 身 鹿鹿 立立 月 鹿鹿 士 人 立立 月 人 士 人 月 田 田 立立 士 一 入 月 士 谷 口 入 月 士 入 月 田 立立 士 子 入 月 立立 月 立立 立立 日 士 士 自 自 士 1 2 3 4 5 6 7 8 9 金金 金金 n 行行 n 大

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

43 1 2

43 1 2 Measures to Promote the Utilization of Content ~Toward building a system with a win win relationship between the author, the content distributor, and users~ 42 43 1 2 44 45 3 46 47 48 49 50 51 52 53 54

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

アライドテレシス ディストリビューション・スイッチ AT-x600シリーズで実現するMicrosoft® NAP

アライドテレシス ディストリビューション・スイッチ AT-x600シリーズで実現するMicrosoft® NAP Microsoft NAP 主な目的 検疫ネットワークを構築したい 802.1X ユーザー認証をシングルサインオンで行ないたい 概要 Microsoft NAP はActive Directory 環境下での利用を前提としています しかし Active Directory のドメイン認証と IEEE 802.1X 認証 ( および NAP の検疫 ) は同期していません したがって 802.1X 認証の前にドメイン認証が行なわれた場合

More information

ユーザ デバイス プロファイルの ファイル形式

ユーザ デバイス プロファイルの ファイル形式 CHAPTER 34 CSV データファイルの作成にテキストエディタを使用する場合 デバイスフィールドと回線フィールドを CSV データファイル内で識別するファイル形式を使用する必要があります このファイル形式には次のオプションがあります Default User Device Profile: ユーザデバイスプロファイルのデバイスフィールドと回線フィールドの事前決定済みの組み合せを含む Simple

More information

技術的条件集別表 26.2 IP 通信網 ISP 接続用ルータ接続インタフェース仕様 (IPv4 トンネル方式 -10GBASE LR インタフェース )

技術的条件集別表 26.2 IP 通信網 ISP 接続用ルータ接続インタフェース仕様 (IPv4 トンネル方式 -10GBASE LR インタフェース ) 技術的条件集別表 26.2 IP 通信網 ISP 接続用ルータ接続インタフェース仕様 (IPv4 トンネル方式 -10GBASE LR インタフェース ) [ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ 1998.5.20) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) IETF RFC791(Internet Protocol 1981.9)

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

Microsoft PowerPoint - NxLec ppt

Microsoft PowerPoint - NxLec ppt 動的スケジューリング ( アウトオブオーダ実行 ) 計算機アーキテクチャ特論 (Advanced Computer Architectures) (1) DIV.D F0, F2, F4 (2) ADD.D F10, F0, F8 (3) SUB.D F12, F8, F14 9. アウトオブオーダプロセッサステートと例外回復 DIV.D とADD.Dの依存がパイプラインをストールさせ,SUB.D

More information

Catalyst 4500 スイッチでの ACL および QoS TCAM 枯渇の防止

Catalyst 4500 スイッチでの ACL および QoS TCAM 枯渇の防止 Catalyst 4500 スイッチでの ACL および QoS TCAM 枯渇の防止 目次 概要前提条件要件使用するコンポーネント表記法背景説明 Catalyst 4500 ACL および QoS ハードウェアのプログラミングアーキテクチャ TCAM の種類 TCAM 枯渇のトラブルシューティング TCAM 2 での最適ではない TCAM プログラミングアルゴリズム ACL での L4Op の過剰な使用スーパーバイザエンジンまたはスイッチタイプの過剰な

More information

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification on BAST Architecture

A Bit flipping Reduction Method for Pseudo-random Patterns Using Don’t Care Identification  on BAST Architecture 29 年 2 月 4 日日本大学大学院生産工学研究科数理情報工学専攻修士論文発表会 BAST アーキテクチャにおけるランダムパターンレジスタント故障ドントケア抽出を用いた擬似ランダムパターンのビット反転数削減法に関する研究 日本大学院生産工学研究科数理情報工学専攻万玲玲 背景 概要 BAST アーキテクチャ 目的と提案手法 ハンガリアンアルゴリズム ランダムパターンレジスタント故障検出用ドントケア抽出法

More information

出岡雅也 旭健作 鈴木秀和 渡邊晃 名城大学理工学部

出岡雅也 旭健作 鈴木秀和 渡邊晃 名城大学理工学部 ( ) Study of Access Control Method in Ad-hoc Networks that Prevents Hidden Terminal Problems using Strong Busy Tone Masaya Izuoka, Kensaku Asahi, Hidekazu Suzuki, Akira Watanabe(Meijo University) 1 2 IEEE802.11

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

. ECHONET IPv4 IPv6 CSMA/CD 1/19

. ECHONET IPv4 IPv6 CSMA/CD 1/19 検索エンジン (google Yahoo Goo MSN Excite Infoseek ) で サーチされ PDF ファイルを直接ダウンロードされた方へ http://marsit.info が下記ホームページの入口です 下記の メインページへ アニメで観るサブページへ カードセキュリティ 提案アニメーション 特許明細書など のダウンロード 国からの委託研究報告 ダウンロード 総務省への開発提案と評価

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

技術的条件集別表 26.3 IP 通信網 ISP 接続用ルータ接続インタフェース仕様 (IPv6 トンネル方式 )

技術的条件集別表 26.3 IP 通信網 ISP 接続用ルータ接続インタフェース仕様 (IPv6 トンネル方式 ) 技術的条件集別表 26.3 ISP 接続用ルータ接続インタフェース仕様 (IPv6 トンネル方式 ) [ 参照規格一覧 ] JIS C5973 (F04 形単心光ファイバコネクタ 1998.5.20) JIS C6835 ( 石英系シングルモード光ファイバ素線 1991) IETF RFC791(Internet Protocol 1981.9) IETF RFC792(Internet Control

More information

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops ボードの概要 Control processor (FPGA by Altera) GRAPE-MP chip[nextreme

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

Microsoft Word - 新規Microsoft Office Word 文書.docx

Microsoft Word - 新規Microsoft Office Word 文書.docx ( ) ENTRAN ENTRAN / DRAM 2 3 () 4 (off chip) MOS Tr 5 6 / SSD / Ⅲ. 研究開発成果 3.3 研究開発項目毎の成果 2 不揮発アーキテクチャの研究開発 不揮発アーキテクチャの研究開発 実施者 : 中央大学, エルピーダメモリ (20 年度のみ ) 目的 研究開発項目 で得られたメモリを用い 現行のアーキテクチャの消費電力に対し実質上 /

More information

10年オンプレで運用したmixiをAWSに移行した10の理由

10年オンプレで運用したmixiをAWSに移行した10の理由 10 年オンプレで運用した mixi を AWS に移行した 10 の理由 AWS Summit Tokyo 2016 株式会社ミクシィ オレンジスタジオ mixi システム部北村聖児 自己紹介 2 名前 北村聖児 所属 株式会社ミクシィオレンジスタジオ mixiシステム部 担当サービス SNS mixi 今日話すこと 3 mixi を AWS に移行した話 mixi 2004 年 3 月 3 日にオフィシャルオープンした

More information

Microsoft Word - サファイア歯科小冊子.doc

Microsoft Word - サファイア歯科小冊子.doc 歯 生 生 長 二 歯 方 高 一 人 小 子 一 歯 自 歯 石 口 血 入 血 虫 歯 大 歯 歯 血 口 方 血 入 身 心 口 口 口 身 口 身 口 方 口 虫 歯 歯 身 歯 歯 身 目 歯 歯 肉 歯 歯 行行 歯 一 歯 行行 心 歯 大 歯 歯 歯 歯 歯 歯 歯 歯 歯 歯 入 歯 歯 人 力力 入 歯 歯 入 歯 力力 入 歯 骨 歯 力力 骨 歯 歯 行行 長 歯 方 高 歯

More information

presen1.pptx

presen1.pptx バッテリー残量を考慮したアドホックネットワーク ルーティング方式の提案と実装 大阪工業大学情報科学部情報ネットワーク学科ユビキタスネットワークシステム研究室 N09-036 三林大祐 N09-052 中嶋一誠 N09-083 宮原健太朗 All Rights Reserved, Copyright 2013 Osaka Institute of Technology 目次 1. 背景 2. 目的 3.

More information

MKS-05 "TERRA-Pプラス 日本語訳取扱説明書

MKS-05 TERRA-Pプラス 日本語訳取扱説明書 MKS-05 "TERRA-P+" BICT.412129.021 KE Sparing-Vist Center Sparing-Vist Center ECOTEST (+38 032) 242-15-15 (+38 032) 242-20-15 sales@ecotest.ua 18 ( ) 2 1. 3 2. 3 3. 4 4. 4 5. 6 6. 7 7. 11 8. 11 9. 11 10.

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

+1 3 JKL F7 F6 +1 3 JKL SIMUL VIEW INST 9-16 DRUM 3 / 11 TRIG LIST 4 / 12 SAMPLE 5 / 13 OTHERS 6 / 14 7 / 15 PERFORM 1 / 9 VOICE 2 / 10 STEREO 8 / 16 OTHERS 6 / 14 DISK F1 DISK F1 SHIFT F5 DISK F1

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

BRA1208A_Ja_ p65

BRA1208A_Ja_ p65 BD-V300/370/370L = = ª B-CAS »« 1 2 1 2» «3 «««« 3 3 3 3 3 3 3 3 3 3 2 2 3 3 3 1. 2. 1 2 34 5 6 7 890 - = ~! @ # 1 2 3 4 5 6 7 8 9 0 - = ~! @ # $ 12345689 $ 12! 2! 3 2 2 2 3 2 2 « 1. 1 2 3 2.

More information

パケットフォワーディングを支える技術 (2) ハードウェア処理ルータの内部詳解

パケットフォワーディングを支える技術 (2) ハードウェア処理ルータの内部詳解 パケットフォワーディングを支える技術 (2) ハードウェア処理ルータの内部詳解 2012 年 11 月 20 日 アラクサラネットワークス株式会社 製品開発部 自己紹介 2 はじめに ハードウェア処理ルータといえば ワイヤーレートでのパケット処理が可能 使われている要素技術レベルで見るとサーバとの差は無い SRAM : キャッシュメモリ パケットバッファ DRAM : メインメモリ パケットバッファ

More information

VLAN の設定

VLAN の設定 この章の内容は 次のとおりです VLAN について, 1 ページ, 4 ページ VLAN について VLAN の概要 VLAN は ユーザの物理的な位置に関係なく 機能 プロジェクトチーム またはアプリケーションによって論理的にセグメント化されているスイッチドネットワークの端末のグループです VLAN は 物理 LAN と同じ属性をすべて備えていますが 同じ LAN セグメントに物理的に配置されていないエンドステーションもグループ化できます

More information

Microsoft PowerPoint - lecture rev00.pptx

Microsoft PowerPoint - lecture rev00.pptx ネットワーク機器と FPGA 名古屋大学情報基盤センター情報基盤ネットワーク研究部門嶋田創 ネットワークのハードウェア周りを実装 するには? 1 今までネットワークに関連するL1,L2,(L3) の世界とハードウェアの関係を見てきた 中身のよくわからない部分としてASICで構成されている部分がある 高速化の要となっているようだが中身は細かく分からない 他の企業に真似されると嫌なので 特に最近は公開されない

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 )

JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) JTAG バウンダリスキャンテストの容易化設計を支援する OrCAD Capture の無償プラグイン 21 July 2017 ( 富士設備 / 浅野義雄 ) PACKAGE COMPLEXITY & TRANSISTOR COUNT 課題 : 実装検査 不良解析 デバッグ プローブ接続では BGA 実装の検査 / 解析 / デバッグができない プローブ接続が困難な高密度実装は増加の一方 このままではテスト費用のほうが高くなる!

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合(

1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 1 薄膜 BOX-SOI (SOTB) を用いた 2M ビット SRAM の超低電圧 0.37V 動作を実証 大規模集積化に成功 超低電圧 超低電力 LSI 実現に目処 独立行政法人新エネルギー 産業技術総合開発機構 ( 理事長古川一夫 / 以下 NEDOと略記 ) 超低電圧デバイス技術研究組合( 理事長 : 豊木則行 / 以下 LEAP と略記 ) と国立大学法人東京大学は このたび マイコン等に使われる論理集積回路の大幅な省エネ化を可能とする

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

US40cユーザーズガイド

US40cユーザーズガイド US40c 1 Enter CURRENT Passord:? _ InsydeH20 Setup Utility Main Advanced Security Boot Exit Video Configuration Poer Save Quick Charge HDD [2GB ATA Flash

More information

2

2 8 23 26A800032A8000 31 37 42 51 2 3 23 37 10 11 51 4 26 7 28 7 8 7 9 8 5 6 7 9 8 17 7 7 7 37 10 13 12 23 21 21 8 53 8 8 8 8 1 2 3 17 11 51 51 18 23 29 69 30 39 22 22 22 22 21 56 8 9 12 53 12 56 43 35 27

More information

2

2 8 22 19A800022A8000 30 37 42 49 2 3 22 37 10 11 49 4 24 27 7 49 7 8 7 9 8 5 6 7 9 8 16 7 7 7 37 10 11 20 22 20 20 8 51 8 8 9 17 1 2 3 16 11 49 49 17 22 28 48 29 33 21 21 21 21 20 8 10 9 28 9 53 37 36 25

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

インターネットVPN_IPoE_IPv6_fqdn

インターネットVPN_IPoE_IPv6_fqdn 技術情報 :Si-R/Si-R brin シリーズ設定例 (NTT 東日本 / NTT 西日本フレッツ光ネクスト ) IPv6 IPoE 方式 ( ひかり電話契約なし ) で拠点間を接続する設定例です フレッツ光ネクストのフレッツ v6 オプションを利用して 拠点間を VPN( ) 接続します IPv6 IPoE 方式 ( ひかり電話契約なし ) の場合 /64 のプレフィックスをひとつ配布されますが

More information

ワールド・ワイド 9‐2/8.朴

ワールド・ワイド 9‐2/8.朴 186 100 40 12 Yoon, 2006. 1 2 IT 1997 IT GDP 1/3 IT IT 2/3 2003 2004 GDP 2 50 Kim Jung, 2005. 12 IT 1999 17.7 IMF 2004 IT 20.4 29.4 3 2005. 12. 26 IT CDMA LCD TV 4 1995 LG 7 IT 5 Lee, 2003 1996 CDMA 5

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

システムソリューションのご紹介

システムソリューションのご紹介 HP 2 C 製品 :VXPRO/VXSMP サーバ 製品アップデート 製品アップデート VXPRO と VXSMP での製品オプションの追加 8 ポート InfiniBand スイッチ Netlist HyperCloud メモリ VXPRO R2284 GPU サーバ 製品アップデート 8 ポート InfiniBand スイッチ IS5022 8 ポート 40G InfiniBand スイッチ

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information