RX62N グループ SCI を使ったクロック同期式シングルマスタ制御ソフトウェア

Size: px
Start display at page:

Download "RX62N グループ SCI を使ったクロック同期式シングルマスタ制御ソフトウェア"

Transcription

1 RX62N SCI R01AN1088JJ0101 Rev.1.01 RX62N SCI SPI SPI MCU RX62N R1EX25xxx SPI Serial EEPROM Micron Technology M25P Serial Flash memory 64Mbit Micron Technology M45PE Serial Flash memory 1Mbit R01AN1088JJ0101 Rev.1.01 Page 1 of 44

2 RX62N SCI 1. RX62N SCI SPI SPI RX62N MCU SCI MCU 1 SPI MSB CPU DMAC/EXDMAC/DTC 1-1 SCI Port 1ch SPI 1-1 R01AN1088JJ0101 Rev.1.01 Page 2 of 44

3 RX62N SCI RX62N ROM 512KB/RAM 96KB R1EX25xxx SPI Serial EEPROM ICLK 96MHz PCLK 48MHz 3.3V High-performance embedded Workshop Version RX C/C Ver.2.01 Renesas R1EX25xxx Serial EEPROM (R01AN0565JJ) Ver.2.02 Renesas Starter Kit for RX62N 2-2 RX62N ROM512KB/RAM 96KB Micron Technology M25P Serial Flash memory 64Mbit ICLK 96MHz PCLK 48MHz 3.3V High-performance embedded Workshop Version RX C/C Ver.2.01 Micron Technology M25P Serial Flash memory (R01AN0566JJ) Ver.2.01 Renesas Starter Kit for RX62N R01AN1088JJ0101 Rev.1.01 Page 3 of 44

4 RX62N SCI V RX62N ROM 512KB/RAM 96KB Micron Technology M45PE Serial Flash memory 1Mbit ICLK 96MHz PCLK 48MHz High-performance embedded Workshop Version RX C/C Ver.2.01 Micron Technology M45PE Serial Flash memory (R01AN0567JJ) Ver.2.01 Renesas Starter Kit for RX62N 3. Renesas R1EX25xxx Serial EEPROM (R01AN0565JJ) Micron Technology M25P Serial Flash memory (R01AN0566JJ) Micron Technology M45PE Serial Flash memory (R01AN0567JJ) R01AN1088JJ0101 Rev.1.01 Page 4 of 44

5 RX62N SCI RX62N CLK DataOut DataIn SPI Device Vcc CLK DataOut DataIn CLK D Q HOLD# WP# Port(CS#) CE# MCU I/O MCU CLK DataIn DataOut Port(CS#) 4-1 RX62N SCI SPI SCK 4-1 CLK TxD 4-1 DataOut RxD 4-1 DataIn Port 4-1 Port(CS#) R01AN1088JJ0101 Rev.1.01 Page 5 of 44

6 RX62N SCI SCI SPI 5-1 SPI 3 CPOL=1 CPHA=1 RX62N SCI MSB MSB CLK DataOut D7 D6 D5 D0 DataIn D7 D6 D5 D0 MCU-> SPI SPI ->MCU MSB CLK H 5-1 MCU SPI SPI CE# SPI CE#SPI SPI CE# MCU Port MCU SPI CE# MCU Port(CS#)SPI CLK MCU CLK SPI CE# SPI CLK MCU CLKSPI CE# MCU Port(CS#) SPI CE# SPI R01AN1088JJ0101 Rev.1.01 Page 6 of 44

7 RX62N SCI SPI CE# SPI 3 CPOL=1 CPHA= R01AN1088JJ0101 Rev.1.01 Page 7 of 44

8 RX62N SCI RAM RAM RAM R01AN1088JJ0101 Rev.1.01 Page 8 of 44

9 RX62N SCI R_SIO_sci.h (1) SIO_OPTION_1 5-1 ROM 696 R_SIO_sci_rx.c RAM 0 R_SIO_sci_rx.c 52 C R01AN1088JJ0101 Rev.1.01 Page 9 of 44

10 RX62N SCI an_r01an1088jj_rx62n <DIR> r01an1088jj0101_rx62n.pdf source <DIR> com <DIR> mtl_com.c mtl_com.h.common mtl_com.h.rx mtl_endi.c mtl_mem.c mtl_os.c mtl_os.h mtl_str.c mtl_tim.c mtl_tim.h mtl_tim.h.sample r_sio_sci_rx <DIR> SCI R_SIO.h R_SIO_sci.h.rx62n I/F R_SIO_sci_rx.c I/F com R01AN1088JJ0101 Rev.1.01 Page 10 of 44

11 RX62N SCI SIO_OK (error_t)( 0) Successful Operation SIO_ERR_PARAM (error_t)(-1) Parameter Error SIO_ERR_HARD (error_t)(-2) Hardware Error SIO_ERR_OTHER (error_t)(-7) Other Error SIO_LOG_ERR 1 Log type : Error SIO_TRUE (uint8_t)0x01 Flag "ON" SIO_FALSE (uint8_t)0x00 Flag "OFF" SIO_HI (uint8_t)0x01 Port "H" SIO_LOW (uint8_t)0x00 Port "L" SIO_OUT (uint8_t)0x01 Port output setting SIO_IN (uint8_t)0x00 Port input setting SIO_TX_WAIT (uint16_t)50000 SIO transmission completion waiting time 50000* 1us = 50ms SIO_RX_WAIT (uint16_t)50000 SIO reception completion waiting time 50000* 1us = 50ms SIO_DMA_TX_WAIT (uint16_t)50000 DMA transmission completion waiting time 50000* 1us = 50ms SIO_DMA_RX_WAIT (uint16_t)50000 DMA reception completion waiting time 50000* 1us = 50ms SIO_T_SIO_WAIT (uint16_t)mtl_t_1us SIO transmission&reception completion waiting polling time SIO_T_DMA_WAIT (uint16_t)mtl_t_1us DMA transmission&reception completion waiting polling time SIO_T_BRR_WAIT (uint16_t)mtl_t_10us BRR setting wait time R01AN1088JJ0101 Rev.1.01 Page 11 of 44

12 RX62N SCI 5.6 /* uint32_t <-> uint8_t conversion */ typedef union { uint32_t ul; uint8_t uc[4]; } SIO_EXCHG_LONG; /* total 4byte */ /* uint16_t <-> uint8_t conversion */ typedef union { uint16_t us; uint8_t uc[2]; } SIO_EXCHG_SHORT; /* total 2byte */ R01AN1088JJ0101 Rev.1.01 Page 12 of 44

13 RX62N SCI R_SIO_Init_Driver() R_SIO_Disable() R_SIO_Enable() R_SIO_Open_Port() R_SIO_Tx_Data() R_SIO_Rx_Data() I/O I/O I/O I/O I/O R01AN1088JJ0101 Rev.1.01 Page 13 of 44

14 RX62N SCI R01AN1088JJ0101 Rev.1.01 Page 14 of 44

15 RX62N SCI R_SIO_Init_Driver R_SIO.h, R_SIO_sci.h, mtl_com.h error_t R_SIO_Init_Driver(void) I/O H SIO_OK ; Successful operation R_SIO_Disable() 5-5 R01AN1088JJ0101 Rev.1.01 Page 15 of 44

16 RX62N SCI I/O R_SIO_Disable I/O R_SIO.h, R_SIO_sci.h, mtl_com.h error_t R_SIO_Disable(void) I/O I/O I/O H SIO_OK ; Successful operation SCI SCI SCI I/O 5-6 I/O R01AN1088JJ0101 Rev.1.01 Page 16 of 44

17 RX62N SCI I/O R_SIO_Enable I/O R_SIO.h, R_SIO_sci.h, mtl_com.h error_t R_SIO_Enable(uint8_t BrgData) I/O I/O I/O R_SIO_Disable() I/O I/O I/O uint8_t BrgData ; SIO_OK ; Successful operation I/O 10 s 5-7 I/O R01AN1088JJ0101 Rev.1.01 Page 17 of 44

18 RX62N SCI I/O R_SIO_Open_Port SIO port(dataout, DataIn, CLK R_SIO.h, R_SIO_sci.h, mtl_com.h error_t R_SIO_Open_Port(void) I/O H SIO_OK ; Successful operation I/O 5-8 I/O R01AN1088JJ0101 Rev.1.01 Page 18 of 44

19 RX62N SCI I/O R_SIO_Tx_Data I/O R_SIO.h, R_SIO_sci.h, mtl_com.h error_t R_SIO_Tx_Data(uint16_t TxCnt, uint8_t FAR* pdata) pdata I/O I/O uint16_t TxCnt ; uint8_t FAR* pdata ; SIO_OK ; Successful operation SIO_ERR_HARD ; Hardware error SIO_TX_ENABLE() IR PFC 1/2/3/6 SCR SCR SIO_TX_DISABLE() SCR SCR IR I/O R01AN1088JJ0101 Rev.1.01 Page 19 of 44

20 RX62N SCI 5-9 I/O R01AN1088JJ0101 Rev.1.01 Page 20 of 44

21 RX62N SCI I/O R_SIO_Rx_Data I/O R_SIO.h, R_SIO_sci.h, mtl_com.h error_t R_SIO_Rx_Data(uint16_t RxCnt, uint8_t FAR* pdata) pdata I/O I/O uint16_t RxCnt ; uint8_t FAR* pdata ; SIO_OK ; Successful operation SIO_ERR_HARD ; Hardware error SIO_TRX_ENABLE() IR PFC 1/2/3/6 SCR SCR SIO_TRX_DISABLE() SCR SCR IR I/O R01AN1088JJ0101 Rev.1.01 Page 21 of 44

22 RX62N SCI 5-10 I/O R01AN1088JJ0101 Rev.1.01 Page 22 of 44

23 RX62N SCI SIO_IO_INIT() (1) (2) DataIn DataOut CLK DataIn SIO_DATAI_INIT() DataOut H SIO_DATAO_INIT() CLK H SIO_CLK_INIT() (3) R01AN1088JJ0101 Rev.1.01 Page 23 of 44

24 RX62N SCI SIO_IO_OPEN() (1) (2) DataIn DataOut CLK DataIn SIO_DATAI_INIT() DataOut SIO_DATAO_OPEN() CLK SIO_CLK_OPEN() (3) Hi-z SIO_IO_INIT() SIO_DATAI_INIT() (1) DataIn (2) (ICR) DataIn DataIn ICR <- 1b (PCR) DataIn DataIn PCR <- 0b ) (DDR) DataIn DataIn DDR <- 0b (3) (PCR) Port9,PortA PortE PortG PortC SIO_PCR_DATAI R01AN1088JJ0101 Rev.1.01 Page 24 of 44

25 RX62N SCI SIO_DATAO_INIT() (1) DataOut H (2) (ODR) DataOut CMOS DataOut ODR <- 0b CMOS ) (ICR) DataOut DataOut ICR <- 0b (DR) DataOut H DataOut DR <- 1b H (DDR)(DR) DataOut DataOut DDR <- 1b DataOut DR <- 1b H (3) (ODR) Port0 Port3 PortC Port0 Port3 PortC SIO_ODR_DATAO SIO_ODR_CLK (ICR) ICR SIO_DATAO_OPEN() (1) DataOut (2) DataOut DataOut DDR <- 0b (3) R01AN1088JJ0101 Rev.1.01 Page 25 of 44

26 RX62N SCI SIO_CLK_INIT() (1) CLK H (2) (ODR) CLK CMOS CLK ODR <- 0b CMOS ) (ICR) CLK CLK ICR <- 0b (DR) CLK H CLK DR <- 1b H (DDR)(DR) CLK CLK DDR <- 1b CLK DR <- 1b H (3) (ODR) Port0 Port3 PortC Port0 Port3 PortC SIO_ODR_DATAO SIO_ODR_CLK (ICR) ICR SIO_CLK_OPEN() (1) CLK (2) CLK CLK DDR <- 0b (3) R01AN1088JJ0101 Rev.1.01 Page 26 of 44

27 RX62N SCI SIO_ENABLE() (1) I/O (2) I/O RX62N (MSTPCRB) MSTPCRB.MSTPBxx <- 0b SCI / MSTPCRB.MSTPBxx SCR.TIE, RIE, TE, RE, TEIE 0 DataIn ICR <- 1b DataOut, CLK ICR <- 0b SCR.CKE[1:0] SMR, SCMR SSR ORER, FER, PER SIO_SSR_CLEAR() SEMR BRR (3) I/O SIO_DISABLE() SIO_DISABLE() SIO_DISABLE()/SIO_TX_DISABLE()/SIO_TRX_DISABLE() SCR R01AN1088JJ0101 Rev.1.01 Page 27 of 44

28 RX62N SCI SIO_DISABLE() (1) I/O (2) I/O RX62N SCI (MSTPCRB) MSTPCRB.MSTPBxx <- 0b SCI / MSTPCRB.MSTPBxx SCR.TIE, RIE, TE, RE, TEIE 0 SMR 00h SSR ORER, FER, PER SIO_SSR_CLEAR() (MSTPCRB) MSTPCRB.MSTPBxx <- 1b SCI / (SCI ) MSTPCRB.MSTPBxx (3) SIO_ENABLE()SIO_ENABLE() SCI SCR 00h RX62N SCR SCI SCR R01AN1088JJ0101 Rev.1.01 Page 28 of 44

29 RX62N SCI SIO_TX_ENABLE() (1) I/O (2) I/O I/O SIO_ENABLE() RX62N IR SIO_IR_CLEAR() SCI 1/2/3/6 SCR.TE=1b, TIE=1b SCR (3) SIO_TX_DISABLE() SIO_TX_DISABLE() SIO_TX_DISABLE() (1) I/O (2) SIO_TX_ENABLE() I/O RX62N SCR.TE=0b, RE=0b, TIE=0b, RIE=0b, TEIE=0b SCR IR SIO_IR_CLEAR() (3) SIO_TX_ENABLE()SIO_TX_ENABLE() R01AN1088JJ0101 Rev.1.01 Page 29 of 44

30 RX62N SCI SIO_TRX_ENABLE() (1) I/O (2) I/O I/O SIO_ENABLE() RX62N IR SIO_IR_CLEAR() SCI 1/2/3/6 SCR.TE=1b, RE=1b, TIE=1b, RIE=1b SCR (3) SIO_TRX_DISABLE() SIO_TRX_DISABLE() SIO_TRX_DISABLE() (1) I/O (2) SIO_TRX_ENABLE() I/O RX62N SCR.TE=0b, RE=0b, TIE=0b, RIE=0b, TEIE=0b SCR IR SIO_IR_CLEAR() (3) SIO_TRX_ENABLE()SIO_TRX_ENABLE() R01AN1088JJ0101 Rev.1.01 Page 30 of 44

31 RX62N SCI SIO_SSR_CLEAR() (1) SSR (2) ORER FER PER RX62N (3) SIO_IR_CLEAR() (1) IR (2) RX62N IR (3) R01AN1088JJ0101 Rev.1.01 Page 31 of 44

32 RX62N SCI 6. I/O /** SET **/ R01AN1088JJ0101 Rev.1.01 Page 32 of 44

33 RX62N SCI 6.1 mtl_com.h mtl_com.h.xxx mtl_com.h.common MCU mtl_com.h MCU mtl_com.h (1) OS OS OS OS /* */ /* OS */ /* OS */ //#define MTL_OS_USE /* Use OS */ //#include <RTOS.h> /* OS header file */ //#include "mtl_os.h" (2) MCU MCU RX62N /* MCU SFR */ /* I/O */ #include "iodefine.h" /* definition of MCU SFR */ (3) /* */ #include "mtl_tim.h" R01AN1088JJ0101 Rev.1.01 Page 33 of 44

34 RX62N SCI (4) /* MCU (1)SuperH (2)M16C */ /* MCU */ //#define MTL_MCU_LITTLE /* Little Endian */ (5) mtl_end.c M16C RX /* M16C */ /* mtl_endi.c */ //#define MTL_ENDI_HISPEED /* Uses the high-speed function. */ (6) /* */ /* */ /* */ /* memcmp() / memmove() / memcpy() / memset() / strcat() / strcmp() / strcpy() / strlen() */ //#define MTL_USER_LIB /* use optimized library */ (7) RAM RAM RX MTL_MEM_NEAR /* RAM */ /* */ //#define MTL_MEM_FAR /* Supports Far RAM area of M16C/60 */ #define MTL_MEM_NEAR /* Supports Near RAM area. (Others) */ R01AN1088JJ0101 Rev.1.01 Page 34 of 44

35 RX62N SCI mtl_tim.h mtl_com.h MCU /* */ /* MCU */ #if 1 /* Setting for 12MHz no wait Ix8 = 96MHz(Compile Option "-optimize=2",com.v406r00)*/ #define MTL_T_1US 10 /* loop Number of 1us */ #define MTL_T_2US 20 /* loop Number of 2us */ #define MTL_T_4US 40 /* loop Number of 4us */ #define MTL_T_5US 50 /* loop Number of 5us */ #define MTL_T_10US 100 /* loop Number of 10us */ #define MTL_T_20US 200 /* loop Number of 20us */ #define MTL_T_30US 300 /* loop Number of 30us */ #define MTL_T_50US 500 /* loop Number of 50us */ #define MTL_T_100US 1000 /* loop Number of 100us */ #define MTL_T_200US 2000 /* loop Number of 200us */ #define MTL_T_300US 3000 /* loop Number of 300us */ #define MTL_T_400US ( MTL_T_200US * 2 ) /* loop Number of 400us */ #define MTL_T_1MS /* loop Number of 1ms */ #endif R01AN1088JJ0101 Rev.1.01 Page 35 of 44

36 RX62N SCI 6.2 /** SET **/ R_SIO.h (1) BRR SCI BRR 1bit 10 s 100kHz 10 s #define SIO_T_BRR_WAIT (uint16_t)mtl_t_10us /* BRR setting wait time */ R_SIO_sci.h SCI R_SIO_sci.h.XXX MCU R_SIO_sci.h MCU R_SIO_sci.h (1) MCU SIO_OPTION_1 6-1 /* */ /* Define the combination of the MCU's resources. */ /* */ #define SIO_OPTION_1 /* */ /* SI/O */ //#define SIO_OPTION_2 /* */ /* SI/O + CRC */ //#define SIO_OPTION_3 /* */ /* SI/O + S/W CRC */ 6-1 #define SI/O SCI CRC MCU CRC SIO_OPTION_1 SIO_OPTION_2 SIO_OPTION_3 R01AN1088JJ0101 Rev.1.01 Page 36 of 44

37 RX62N SCI SIO_OPTION_1 SIO_OPTION_3 full MSB CRC-CCITT MCU CRC SIO_OPTION_2 MSB CRC-CCITTSIO_OPTION_3 (2) CRC CRC EEPROMCRC-CCITT /* */ /* Define the CRC calculation. */ /* */ #define SIO_CRCCCITT_USED /* CRC-CCITT used */ #define SIO_CRC7_USED /* CRC7 used */ (3) SCI SCI /* */ /* Define the SCI channel. */ /* */ #define SIO_SCI_CHANNEL 2 /* SCI Channel Select */ R01AN1088JJ0101 Rev.1.01 Page 37 of 44

38 RX62N SCI (4) 6-2 /* */ /* Define the control port. */ /* */ /* Set to use port numbers and bit numbers */ #define SIO_DATAI_PORTNO 1 /* SIO DataIn Port No. */ #define SIO_DATAI_BITNO 2 /* SIO DataIn Bit No. */ #define SIO_CLK_PORTNO 1 /* SIO CLK Port No. */ #define SIO_CLK_BITNO 1 /* SIO CLK Bit No. */ #define SIO_DATAO_PORTNO 1 /* SIO DataOut Port No. */ #define SIO_DATAO_BITNO 3 /* SIO DataOut Bit No. */ 6-2 #define SIO_DATAI_PORTNO SIO_DATAI_BITNO SIO_CLK_PORTNO SIO_CLK_BITNO SIO_DATAO_PORTNO SIO_DATAO_BITNO DataIn DataIn CLK CLK DataOut DataOut R01AN1088JJ0101 Rev.1.01 Page 38 of 44

39 RX62N SCI (5) PFxSCISCIxS SCIxS SCI /* */ /* Define the control SCIxS Bit (SCI Pin Select) of Port Function Control Register*/ /* */ #define SIO_SCI_SELECT (uint8_t)(0) /* SCI pin select set.(set '0'or'1')*/ SIO_ SIO_TX_ENABLE() SIO_TRX_ENABLE() PFxSCI PFxSCI SCI #pragma inline(sio_tx_enable) static void SIO_TX_ENABLE(void) /* SIO Transmission Enable Setting */ { volatile uint8_t uctmp; SIO_IR_CLEAR(); /* SCI IR Clear */ /* If SCI channel "1,2,3,6" is used, define the following PFC. */ SIO_PFC_SCI = SIO_SCI_SELECT; /* Selects SCIn Pin. */ #pragma inline(sio_trx_enable) static void SIO_TRX_ENABLE(void)/*SIO Transmission&Reception Enable Setting*/ { volatile uint8_t uctmp; SIO_IR_CLEAR(); /* SCI IR Clear */ /* If SCI channel "1,2,3,6" is used, define the following PFC. */ SIO_PFC_SCI = SIO_SCI_SELECT; /* Selects SCIn Pin. */ (6) 0.1us /* */ /* Define the wait time for timeout. */ /* Time out is occurred after times loop process of wait time. */ /* */ #define SIO_T_SCI_WAIT (uint16_t)(1) /* 0.1us wait When CPU clock = 96MHz*/ R01AN1088JJ0101 Rev.1.01 Page 39 of 44

40 RX62N SCI (7) PCR SIO_DATAI_INIT() PCR PCR Port9,PortA PortE PortG /* DataIn control */ #pragma inline(sio_datai_init) static void SIO_DATAI_INIT(void) /* DataIn Initial Setting */ { SIO_ICR_DATAI = 1; /* DataIn Input Buffer : Enable */ /* SIO_PCR_DATAI = 0; */ /* DataIn Input Pull-up: off */ SIO_DDR_DATAI = SIO_IN; /* DataIn Input */ } (8) ODR SIO_DATAO_INIT() SIO_DATAO_CLK() ODR ODR Port0 Port3 PortC /* DataOut control */ #pragma inline(sio_datao_init) static void SIO_DATAO_INIT(void) /* DataOut Initial Setting */ { /* SIO_ODR_DATAO = 0; */ /* Open Drain Control: CMOS*/ /* CLK control */ #pragma inline(sio_clk_init) static void SIO_CLK_INIT(void) /* CLK Initial Setting */ { /* SIO_ODR_CLK = 0; */ /* Open Drain Control : CMOS */ R01AN1088JJ0101 Rev.1.01 Page 40 of 44

41 RX62N SCI R_SIO.h R_SIO_sci.h R_SIO_sci.h.XXX 7.2 ROM 7.3 MCU MCU R_SIO_sci.h.XXX I/O mtl_com.h.xxx 7.4 CRC CRC 7.5 PORTn.ICR SCI PORTn.ICR R01AN1088JJ0101 Rev.1.01 Page 41 of 44

42 RX62N SCI Rev R01AN1088JJ0101 Rev.1.01 Page 42 of 44

43 製品ご使用上の注意事項 ここでは マイコン製品全体に適用する 使用上の注意事項 について説明します 個別の使用上の注意 事項については 本ドキュメントおよびテクニカルアップデートを参照してください 1. 未使用端子の処理 注意 未使用端子は 本文の 未使用端子の処理 に従って処理してください CMOS 製品の入力端子のインピーダンスは 一般に ハイインピーダンスとなっています 未使用端子を開放状態で動作させると 誘導現象により LSI 周辺のノイズが印加され LSI 内部で貫通電流が流れたり 入力信号と認識されて誤動作を起こす恐れがあります 未使用端子は 本文 未使用端子の処理 で説明する指示に従い処理してください 2. 電源投入時の処置 注意 電源投入時は, 製品の状態は不定です 電源投入時には LSI の内部回路の状態は不確定であり レジスタの設定や各端子の状態は不定です 外部リセット端子でリセットする製品の場合 電源投入からリセットが有効になるまでの期間 端子の状態は保証できません 同様に 内蔵パワーオンリセット機能を使用してリセットする製品の場合 電源投入からリセットのかかる一定電圧に達するまでの期間 端子の状態は保証できません 3. リザーブアドレス ( 予約領域 ) のアクセス禁止 注意 リザーブアドレス( 予約領域 ) のアクセスを禁止します アドレス領域には 将来の機能拡張用に割り付けられているリザーブアドレス ( 予約領域 ) があります これらのアドレスをアクセスしたときの動作については 保証できませんので アクセスしないようにしてください 4. クロックについて 注意 リセット時は クロックが安定した後 リセットを解除してください プログラム実行中のクロック切り替え時は 切り替え先クロックが安定した後に切り替えてください リセット時 外部発振子 ( または外部発振回路 ) を用いたクロックで動作を開始するシステムでは クロックが十分安定した後 リセットを解除してください また プログラムの途中で外部発振子 ( または外部発振回路 ) を用いたクロックに切り替える場合は 切り替え先のクロックが十分安定してから切り替えてください 5. 製品間の相違について 注意 型名の異なる製品に変更する場合は 製品型名ごとにシステム評価試験を実施してください 同じグループのマイコンでも型名が違うと 内部 ROM レイアウトパターンの相違などにより 電気的特性の範囲で 特性値 動作マージン ノイズ耐量 ノイズ輻射量などが異なる場合があります 型名が違う製品に変更する場合は 個々の製品ごとにシステム評価試験を実施してください

44 OA AV RoHS (03) Renesas Electronics Corporation. All rights reserved. Colophon 2.0

RL78/G1D評価ボード搭載モジュール 基板設計データ

RL78/G1D評価ボード搭載モジュール 基板設計データ アプリケーションノート 評価ボード搭載モジュール基板設計データ R01AN3168JJ0100 Rev.1.00 要旨 この資料は 評価ボード (RTK0EN0001D01001BZ) に搭載されている 搭載モジュール (RTK0EN0002C01001BZ) の基板設計データについて記載しています 基板データには回路図 部品表 ガーバーデータ 基板レイアウト図が含まれます 対象デバイス 目次 1.

More information

SH-4 組み込み用TCP/IP M3S-T4-Tiny: 導入ガイド

SH-4 組み込み用TCP/IP M3S-T4-Tiny: 導入ガイド アプリケーションノート R20AN0072JJ0101 Rev.1.01 要旨 本資料は M3S-T4-Tiny for the V.1.04 Release00( 以下 T4 と略します ) を導入し 使用するために必要な情報をまとめています T4 は ルネサスマイコンで動作する組み込み用 TCP/IP プロトコルスタックです T4 はライブラリ形式で提供され ユーザプログラムに組み込むことで簡単に

More information

SH-2, SH-2A 固定小数点ライブラリ (Ver. 1.02) 活用ガイド<コンパイラ活用ガイド>

SH-2, SH-2A 固定小数点ライブラリ (Ver. 1.02) 活用ガイド<コンパイラ活用ガイド> 本ドキュメントでは 固定小数点ライブラリについて説明します 目次 アプリケーションノート R01AN1355JJ0102 Rev.1.02 1. 固定小数点ライブラリ... 2 1.1 概要... 2 1.2 固定小数点数の形式... 2 1.3 提供ライブラリ... 3 1.4 使用例... 3 1.5 ライブラリ使用時の注意事項... 4 2. 固定小数点ライブラリの詳細... 5 2.1 "fixmath.h"...

More information

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM

RX600 & RX200シリーズ アプリケーションノート RX用仮想EEPROM R01AN0724JU0170 Rev.1.70 MCU EEPROM RX MCU 1 RX MCU EEPROM VEE VEE API MCU MCU API RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 R01AN0724JU0170 Rev.1.70 Page 1 of 33 1.... 3 1.1... 3 1.2... 3

More information

SH7216 グループ USB ファンクションモジュール USB コミュニケーションクラス アプリケーションノート

SH7216 グループ USB ファンクションモジュール USB コミュニケーションクラス アプリケーションノート SH7216 USB USB SH7216 USB USB USB SH7216 R01AN2201JJ0100 Rev.1.00 1.... 2 2.... 3 3. USB... 19 4.... 25 R01AN2201JJ0100 Rev.1.00 Page 1 of 26 SH7216 USB USB 1. SH7216 USB USB USB 1.1 INTC PFC USB USB SCI

More information

RXファミリ 多重割り込みの使い方 アプリケーションノート

RXファミリ 多重割り込みの使い方 アプリケーションノート RAN954JJ Rev.. RX.... 2 2.... 4 3.... 4 4.... 5 5.... 6 6.... 4 7.... 4 RAN954JJ Rev.. Page of 5 . IRQ IRQ3 PSW I PSW I I. #pragma interrupt (enable) : RX22 IRQ #pragma interrupt (Excep_IRQm (enable,vect=65))

More information

Renesas Synergy アプリケーションノート USBX MSC device機能を使用したHost PCとのファイル共有機能実装例

Renesas Synergy アプリケーションノート USBX MSC device機能を使用したHost PCとのファイル共有機能実装例 要旨 アプリケーションノート R30AN0302JJ0100 Rev.1.00 本アプリケーションノートは Renesas Synergy SSP(Synergy Software Package) の USB MSC device 機能の応用例として Synergy MCU と Host PC でファイルを共有する例を示します Synergy MCU の SRAM 上にファイルシステムを構成 Synergy

More information

RZ/A1Hグループ JCU・PFVサンプルドライバ

RZ/A1Hグループ JCU・PFVサンプルドライバ アプリケーションノート RZ/A1H グループ JCU PFV サンプルドライバ R01AN2060JJ0201 Rev.2.01 要旨 本パッケージには RZ/A1H 向け JPEG コーデックユニットのサンプルドライバ (JCU ドライバ ) とピクセルフォーマットコンバータのサンプルドライバ (PFV ドライバ ) が含まれています JCU ドライバは RZ/A1H,M,LU の JPEG コーデックユニット機能を使用し

More information

RIN,RZ/T1,EC-1,TPS1グループ

RIN,RZ/T1,EC-1,TPS1グループ アプリケーションノート R01AN3547JJ0100 Rev.1.00 要旨 本アプリケーションノートでは MCU を搭載した評価ボードを CODESYS Software PLC にて接続 動作をさせるための手順について説明します CODESYS が対応しているプロトコルの内 EtherCAT スタックを使用する場合のデバイス追加 設定方法について記載します 新規プロジェクト作成 デバッグ手順

More information

RX ファミリ、M16C ファミリ アプリケーションノート M16CからRXへの置き換えガイド 調歩同期式シリアル通信(UART)編

RX ファミリ、M16C ファミリ アプリケーションノート M16CからRXへの置き換えガイド 調歩同期式シリアル通信(UART)編 アプリケーションノート 要旨 R01AN1859JJ0100 Rev.1.00 本アプリケーションノートでは M16C ファミリのシリアル I/O の UART モードから RX ファミリの SCI の調歩同期式モードへの置き換えについて説明しています 対象デバイス RX ファミリ M16C ファミリ M16C から RX への置き換え例として RX ファミリは RX210 グループを M16C ファミリは

More information

Renesas Synergy アプリケーションノート USBX HID host機能を使用したUSB mouse接続例

Renesas Synergy アプリケーションノート USBX HID host機能を使用したUSB mouse接続例 アプリケーションノート Renesas Synergy R30AN0301JJ0100 Rev.1.00 要旨 本アプリケーションノートは Renesas Synergy SSP(Synergy Software Package) の USB HID Host 機能使用例として SK-S7G2 ボードで一般的な USB マウスを使用する例を示します 本書に付属のサンプルプログラムは 表 1 の環境で動作します

More information

RIN,RZ/T1 グループ

RIN,RZ/T1 グループ アプリケーションノート R-IN,RZ/T1 グループ R01AN3546JJ0100 Rev.1.00 要旨 本アプリケーションノートでは R-IN,RZ/T1, グループ MCU を搭載した評価ボードを CODESYS Software PLC にて接続 動作をさせるための手順について説明します CODESYS が対応しているプロトコルの内 EtherNet/IP スタックを使用する場合のデバイス追加

More information

Renesas Synergy アプリケーションノート SD機能使用例-bitmap viewer(SSP v1.2.0-b1,v1.2.0)

Renesas Synergy アプリケーションノート SD機能使用例-bitmap viewer(SSP v1.2.0-b1,v1.2.0) アプリケーションノート Renesas Synergy (SSP v1.2.0-b1,v1.2.0) R30AN0280JJ0110 Rev.1. 10 要旨 本アプリケーションノートでは SD カード内に格納した bitmap 形式の画像ファイルを LCD ディスプレイに表示する bitmap viewer を例に Renesas Synergy の SSP(Synergy Software Package)

More information

RZ/A1Hグループ 自動コントラスト補正アドオン リリースノート

RZ/A1Hグループ 自動コントラスト補正アドオン リリースノート アプリケーションノート RZ/A1H グループ R01AN3897JJ0201 Rev.2.01 要旨 本ソフトウェアパッケージは カメラ入力 LCD 出力 画像調整を一貫してサポートする RZ/A1 用 HMI ソフトウェア開発キット SDK for Camera のアドオン ソフトウェアです RZ/A1H および RZ/A1M に内蔵する ダイナミックレンジコンプレッション (DRC) を用い

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

RX113 グループ アプリケーションノート CTSU 相互容量方式ボタンデザインガイド

RX113 グループ アプリケーションノート CTSU 相互容量方式ボタンデザインガイド アプリケーションノート RX113 グループ R30AN0219JJ0100 Rev 1.00 要旨 RX113 グループは タッチ電極と人体の間に発生する静電容量を測定することで人体の接触を感知するハードウェア (Capacitive Touch Sensor Unit ;CTSU) を内蔵しています 本アプリケーションノートでは CTSU に実装された相互容量方式タッチキーの設計方法について説明します

More information

RXファミリ JPEGデコーダ モジュール Firmware Integration Technology

RXファミリ JPEGデコーダ モジュール Firmware Integration Technology アプリケーションノート R20AN0104JJ0206 Rev.2.06 要旨 本仕様では 用 JPEG デコーダ ( 以下 JPEGD と略します ) の使用方法について記載しています JPEG デコーダはデコード専用で 以下の 2 つのライブラリがあります JPEG デコードライブラリ : JPEG ファイル伸張ライブラリ : 逆 DCT 逆量子化 ハフマン復号化 JPEG デコードライブラリを用いて

More information

SH7670グループ Hi-Speed USB 2.0 基板設計ガイドライン アプリケーションノート

SH7670グループ Hi-Speed USB 2.0 基板設計ガイドライン アプリケーションノート SH7670 Hi-Speed USB 2.0 R01AN0700JJ0100 Rev.1.00 Hi-Speed USB 2.0 LSI SH7670/SH7671/SH7672/SH7673 SH767x USB 1.... 2 2. USB... 3 3.... 5 4.... 7 5. VBUS... 9 6. REFRIN... 10 7. EMI/ESD... 11 8.... 12 R01AN0700JJ0100

More information

【注意事項】RX Driver Package、 RXファミリ RTC モジュール Firmware Integration Technology

【注意事項】RX Driver Package、 RXファミリ RTC モジュール Firmware Integration Technology 注意事項 RX Driver Package RX ファミリ RTC モジュール Firmware Integration Technology 概要 RX Driver Package および RX ファミリ RTC モジュール Firmware Integration Technology( 以下 RTC FIT モジュール ) の使用上の注意事項を連絡します 1. R_RTC_Read 関数における時刻読み出し処理の注意事項

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

RL78ファミリ SPIモードマルチメディアカードドライバ: 導入ガイド

RL78ファミリ SPIモードマルチメディアカードドライバ: 導入ガイド アプリケーションノート R20AN0158JJ0103 Rev.1.03 要旨 本書は 用マルチメディアカードドライバのソフトウェア構成 使用方法ついて記述します また応用例として マルツエレック株式会社より販売しているRL78/G14マイコン トレーニング キット MTK-RL78G14に本ドライバを組み込んだ音声再生 録音デモソフトウェアを別途用意しています 詳細は下記 URLをご参照ください

More information

V850ファミリ オープンソースFATファイルシステム M3S-TFAT-Tiny: 導入ガイド

V850ファミリ オープンソースFATファイルシステム M3S-TFAT-Tiny: 導入ガイド アプリケーションノート 要旨 本アプリケーションノートは オープンソース FAT ファイルシステム M3S-TFAT-Tiny V.2.00 Release 01 ( 以下 TFAT ライブラリ ) とサンプルプログラムの使用方法を説明します 動作確認デバイス V850E2/ML4 (μpd70f4022) 目次 R01AN1028JJ0102 Rev.1.02 1. アプリケーションノート構成...

More information

SH7670グループ DMAC 内蔵モジュールへの転送例

SH7670グループ DMAC 内蔵モジュールへの転送例 アプリケーションノート SH7670 グループ R01AN0306JJ0101 Rev. 1.01 要旨 本アプリケーションノートでは SH7670/SH7671/SH7672/SH7673 のダイレクトメモリアクセスコントローラ (DMAC) の内蔵モジュールへの転送例を説明しています 動作確認デバイス SH7670 目次 1. はじめに... 2 2. 応用例の説明... 3 3. 参考プログラムリスト...

More information

RXファミリ 静電容量計測精度向上のためのセンサ補正

RXファミリ 静電容量計測精度向上のためのセンサ補正 アプリケーションノート RX ファミリ R01AN3610JJ0100 Rev.1.00 要旨 本アプリケーションノートは 内部電流制御発振器 ( 以下 ICO) の変動を補正することにより 静電容量式タッチセンサユニット ( 以下 CTSU) の静電容量検出精度を向上させる手順を説明します 本補正は 補正係数を計測データから算出し CTSU のセンサカウンタ値に乗算することで精度向上を図ります 動作確認デバイス

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

RX210、RX21A、RX220グループ アプリケーションノート SCIを用いた調歩同期式通信

RX210、RX21A、RX220グループ アプリケーションノート SCIを用いた調歩同期式通信 アプリケーションノート R01AN1423JJ0101 Rev.1.01 要旨 本アプリケーションノートでは のシリアルコミュニケーションインタフェース ( 以下 SCI) を使用して 調歩同期式のシリアル送受信を行う方法について説明します 対象デバイス 本アプリケーションノートを他のマイコンへ適用する場合 そのマイコンの仕様にあわせて変更し 十分評価してください R01AN1423JJ0101 Rev.1.01

More information

RX113 Group

RX113 Group 1. 概要 このは ルネサス静電タッチ評価システムをお使いいただくための手順を記載しております システムに必要なソフトウェアのインストールと使い方 ボードの設定などを説明しておりますので このガイド書の手順に従いセットアップをお願いいたします 2. 内容物 ルネサス静電タッチ評価システムには 以下ボード ソフトウェアなどが同梱されています 内容物が不足していた場合は お手数ですがお買い上げ店に御確認下さい

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

Full-Speed USB2.0基板設計ガイドライン

Full-Speed USB2.0基板設計ガイドライン アプリケーションノート Full-Speed USB R01AN0628JJ0100 Rev.1.00 要旨 この資料は Full-Speed USB2.0 基板設計時のガイドラインを掲載しています 動作確認デバイス この資料で説明する応用例は以下に適用されます RX6xx シリーズ RX2xx シリーズ RX1xx シリーズ R8C/3xx シリーズ RL78/G1C L1C 注 : この資料に掲載している内容は

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

SH7730グループ アプリケーションノート BSC バイト選択付きSRAM設定例

SH7730グループ アプリケーションノート BSC バイト選択付きSRAM設定例 RJJ06B1099-0001 Rev.0.01 (BSC) SH7730 1.... 2 2.... 3 3.... 20 RJJ06B1099-0001 Rev.0.01 Page 1 of 20 1. 1.1 64M (8M 8 / 4M 16 ) 16 (BSC) / 1.2 (BSC) 1.3 : SH7730 (R8A77301) : 64M : R1WV6416R (8M 8 / 4M

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

RXファミリ アプリケーションノート EEPROMアクセス クロック同期式制御モジュール Firmware Integration Technology

RXファミリ アプリケーションノート EEPROMアクセス クロック同期式制御モジュール Firmware Integration Technology アプリケーションノート RX ファミリ R01AN2325JJ0234 Rev.2.34 要旨 本アプリケーションノートでは ルネサスエレクトロニクス製 MCU を使用したルネサスエレクトロニクス製 R1EX25xxx/HN58X25xxx シリーズの SPI Serial EEPROM 制御方法とその使用方法を説明します なお 本制御ソフトウェアは スレーブデバイスとして Serial EEPROM

More information

【注意事項】RXファミリ 組み込み用TCP/IP M3S-T4-Tiny

【注意事項】RXファミリ 組み込み用TCP/IP M3S-T4-Tiny 注意事項 RX ファミリ組み込み用 TCP/IP M3S-T4-Tiny R20TS0227JJ0100 Rev.1.00 号 概要 RX ファミリ組み込み用 TCP/IP M3S-T4-Tiny ( 注 ) の使用上の注意事項を連絡します 1. Ping Reply パケットに関する注意事項 2. LAN ネットワーク環境に関する注意事項 3. select() 関数のタイムアウト設定値に関する注意事項

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート

RX600 & RX200シリーズ RX用シンプルフラッシュAPI アプリケーションノート R01AN0544JU0240 Rev.2.40 RX600 RX200 API MCU API API RX 0xFF 3.10 API RX610 RX621 RX62N RX62T RX62G RX630 RX631 RX63N RX63T RX210 1.... 2 2. API... 3 3.... 11 4.... 16 5. API... 18 6.... 32 R01AN0544JU0240

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

RL78ファミリ CubeSuite+ スタートアップ・ガイド編

RL78ファミリ CubeSuite+ スタートアップ・ガイド編 アプリケーションノート RL78 ファミリ R01AN1232JJ0100 Rev.1.00 要旨 この資料は,CubeSuite+ を用いた RL78 ファミリサンプルコードの活用方法, および RL78 ファミリの開発ツールの基本的な操作を, ユーザに理解していただくことを目的としています この資料を読みながら, 実際にツールを操作することにより, サンプルコードの活用方法や開発ツールの基本的な操作に対する理解を,

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

EC-1 シリーズ 通信ボード ハードウェアマニュアル

EC-1 シリーズ 通信ボード ハードウェアマニュアル アプリケーションノート R01AN3684JJ0110 Rev.1.10 要旨 産業イーサネット通信用 LSI を搭載した通信ボードの仕様について記載しております 対象デバイス EC-1 R01AN3684JJ0110 Rev.1.10 Page 1 of 21 目次 1. 概要... 3 1.1 概要... 3 1.2 全体ブロック図... 4 2. 一般仕様... 5 2.1 電気仕様... 5

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

RXファミリ 組み込み用TCP/IP M3S-T4-Tiny を用いたサンプルプログラム Firmware Integration Technology

RXファミリ 組み込み用TCP/IP M3S-T4-Tiny を用いたサンプルプログラム Firmware Integration Technology アプリケーションノート RX ファミリ R20AN0312JJ0106 Rev.1.06 要旨 本資料は 組み込み用 TCP/IP M3S-T4-Tiny ( 以下 T4 ライブラリ ) を用いたサンプルプログラムの情報を示します T4 は各種 Renesas Starter Kit 同梱の CPU ボードや がじぇっとるねさすの RX63N 搭載ボードや サードパーティ製ボードで簡単に TCP/IP

More information

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2

ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) Copyright c 2 ESP32-KEY-KIT-R1 (ESP-WROOM-32 ) http://www.microfan.jp/ http://store.shopping.yahoo.co.jp/microfan/ http://www.microfan.jp/shop/ 2017 4 Copyright c 2017 MicroFan, All Rights Reserved. i 1 ESP32-KEY-KIT-R1

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

CubeSuite+版RXシリアルデバッガ取扱説明書

CubeSuite+版RXシリアルデバッガ取扱説明書 CubeSuite+ 版 RX シリアルデバッガ取扱説明書 はじめに この取扱説明書は CubeSuite+ 版 RXシリアルデバッガ 用に作成されたものです RXシリアルデバッガはターゲット CPU の内蔵フラッシュメモリに書き込み シリアルポート (COM ポート ) 経由で CubeSuite+ からターゲット CPUのデバッグを行うデバッグ ツールです E1/E20エミュレータのような機器を必要としませんが

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co

~~~~~~~~~~~~~~~~~~ wait Call CPU time 1, latch: library cache 7, latch: library cache lock 4, job scheduler co 072 DB Magazine 2007 September ~~~~~~~~~~~~~~~~~~ wait Call CPU time 1,055 34.7 latch: library cache 7,278 750 103 24.7 latch: library cache lock 4,194 465 111 15.3 job scheduler coordinator slave wait

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

oaks32r_m32102

oaks32r_m32102 OAKS32R-M32102S6FP 2 OAKS32R OAKS32R-M32102S6FP M32102S6FP... 4... 5... 6... 7 4.1. CPU...7 4.2. Flash ROM SDRAM....8 4.3. LANC....8 4.4. RS232C....9 4.5. CPU....9 4.6.....9 4.7....10 4.8. SDI....10...

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

R1RP0416DIシリーズデータシート

R1RP0416DIシリーズデータシート Wide Temperature Version 4M High Speed SRAM (256-kword 16-bit) データシート RJJ03C0097-0201 Rev.2.01 概要 R1RP0416DI シリーズは 256k ワード 16 ビット構成の 4M ビット高速スタティック RAM です CMOS(6 トランジスタメモリセル ) プロセス技術を採用し, 高密度, 高性能, 低消費電力を実現しました

More information

RZ/A1Hグループ SPIマルチI/Oバスコントローラ動作モード切替え方法 アプリケーションノート

RZ/A1Hグループ SPIマルチI/Oバスコントローラ動作モード切替え方法 アプリケーションノート アプリケーションノート RZ/A1H グループ R01AN3271JJ0100 Rev.1.00 要旨 本アプリケーションノートでは SPI マルチ I/O バスコントローラの外部アドレス空間リードモードと SPI 動作モードの切替え方法について説明します 対象デバイス RZ/A シリーズ R01AN3271JJ0100 Rev.1.00 Page 1 of 15 目次 1. SPI マルチ I/O

More information

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL アプリケーションノート RL78/I1D R01AN3096JJ0101 Rev.1.01 要旨 本アプリケーションノートでは RL78/I1D の中速オンチップ オシレータを利用した UART 通信方法を説明します UART 通信で求められる周波数精度を有する高速オンチップ オシレータを用いて 中速オンチップ オシレータの発振周期を定期的に測定します その測定結果に基づいて UART 通信のボーレートを補正することで

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

基本条件 (1Slot 版用 ) 機能 MR-SHPC 端子名 設定内容 備考 CS 空間 -CS CS6 空間 ( キャッシュ無し ) キャッシュ無し空間を使用 (B h) RA25 0 固定 レジスタ空間 RA24 0 固定 RA23 0 固定 B83FFFE 4h~B83FFFF

基本条件 (1Slot 版用 ) 機能 MR-SHPC 端子名 設定内容 備考 CS 空間 -CS CS6 空間 ( キャッシュ無し ) キャッシュ無し空間を使用 (B h) RA25 0 固定 レジスタ空間 RA24 0 固定 RA23 0 固定 B83FFFE 4h~B83FFFF SH4 基本システム構成例 IRLn A25-0 D15-0 -CSn -BS -RD -WE1-0 -RDY CKIO -RESET SIRQ 3-0 SA25-0 SD15-0 -CS -BS -SRD -SWE1-0 -WAIT /-RDY CKIO -RESET RA25-22 ENDIAN TEST 任意の設定値 SH4 MR-SHPC-01 V2 CA25-0 -CCE2-1 -CREG

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

InCircuitScopeManual

InCircuitScopeManual 変数波形表示ツール 要旨 はターゲットシステム上で実行されるプログラムのグローバル変数値をリアルタイムにパソコン上に波形表示することができるツールです 本アプリケーションノートは の取り扱い方法について説明します また を使用する上で必要となる ボード ( 以降 ICS ボード ) についても 4 章で説明します 対象デバイス下記のデバイスで動作実績があります 〇 16bit 整数型デバイス : RL78G1F,

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

アプリケーションノート AS-E402サンプルプログラム

アプリケーションノート AS-E402サンプルプログラム GR-SAKURA 用拡張ボード AS-E402 サンプルプログラム アプリケーションノート 1. 概要 GR-SAKURA AS-E402 CubeSuite+ 2. 用意するもの AS-E402 GR-SAKURA E1 DC GR-SAKURA AC E1 CubeSuite+ V2.01.00 3. サンプルプログラムの動作 AS-E402 LED SW1 LED1 SW2 LED2 LED3

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

RXファミリ

RXファミリ アプリケーションノート R01AN1826JJ0110 Rev.1.10 要旨 本アプリケーションノートでは Firmware Integration Technology( 以下 FIT と称す ) モジュールを入手し CS+ のプロジェクトに追加するまでの手順について説明します 対象デバイス サポートしているデバイスは FIT モジュールによって異なります FIT モジュール内の Readme.txt

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

HN58X2402SFPIAG/HN58X2404SFPIAG

HN58X2402SFPIAG/HN58X2404SFPIAG お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

1 2

1 2 1 1 2 1 2 3 4 5 3 2 3 4 4 1 2 3 4 5 5 5 6 7 8 1 1 2 1 10 1 3 1 11 2 12 2 3 1 13 2 14 2 3 1 15 2 16 2 3 1 17 2 1 2 3 4 5 18 2 6 7 8 3 1 1 2 19 2 20 2 3 1 21 2 22 2 3 1 23 2 24 2 3 1 25 2 26 2 3 1 27 2 28

More information

EB-RL7023+SB/D2

EB-RL7023+SB/D2 RL7023 Stick/IPR ユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :2.0 2014/9/30-1 - 目次 1 本書の概要... 3 2 PC 動作環境の説明... 4 3 USB ドライバのインストール... 4 3.1 RL7023 Stick の接続... 4 3.2 USB ドライバのインストール... 4 3.3 USB ドライバのダウンロード... 5 4

More information

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016

TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA Vac/10 A [85-AA-0003] m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A [ ] 2016 No. IB028901 Nov. 2016 1. 11 TOS7200 2. 14 3. 19 4. 23 5. 39 6. 49 7. 51 TOS7200 CD-ROM DUT PC 1.0X p.15 NEMA5-15 125 Vac/10 A [85-AA-0003] 1 2.5 m : CEE7/7 : 250Vac/10 A [85-AA-0005] : GB1002 : 250Vac/10A

More information

V850E2/ML4 マイクロコンピュータ Peripheral LibUSB Demo アプリケーションノート

V850E2/ML4 マイクロコンピュータ Peripheral LibUSB Demo アプリケーションノート R01AN1098JJ0100 Rev.1.00 V850E2/ML4 USB PC V850E2/ML4 CPU LED V850E2/ML4(uPD70F4022) 1.... 2 2.... 3 3.... 4 4.... 6 5.... 9 6.... 18 R01AN1098JJ0100 Rev.1.00 Page 1 of 27 1. 1.1 V850E2/ML4 USB PC LibUSB

More information

Renesas Synergy アプリケーションノート NetXを使ったソケット通信の応用例:マルチクライアントサーバ

Renesas Synergy アプリケーションノート NetXを使ったソケット通信の応用例:マルチクライアントサーバ アプリケーションノート 要旨 R30AN0321JJ0100 Rev.1.00 2017.11.30 本書では NetX を使ったソケット通信について説明します クライアント動作 及び複数のクライアントと通信を行うマルチクライアントサーバ間の通信を例としています また MAC アドレスの変更方法についても説明します また本書に付属のサンプルプログラムは 表 1 の環境で動作します 表 1 動作環境

More information

SH7734 グループ イーサネット受信設定例 アプリケーションノート

SH7734 グループ イーサネット受信設定例 アプリケーションノート R01AN0898JJ0100 Rev.1.00 SH7734 R01AN0665JJ R01AN0665JJ SH7734 1.... 2 2.... 3 3.... 3 4.... 4 5.... 6 6.... 24 7.... 24 R01AN0898JJ0100 Rev.1.00 Page 1 of 25 1. GETHER MAC Media Access Control E-DMAC

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp)

ADC78H90 8-Channel, 500 kSPS, 12-Bit A/D Converter (jp) 8-Channel, 500 ksps, 12-Bit A/D Converter Literature Number: JAJSA63 8 500kSPS 12 A/D 8 12 CMOS A/D 500kSPS / AIN1 AIN8 8 SPI QSPI MICROWIRE DSP (AV DD ) 2.7V 5.25V (DV DD ) 2.7V AV DD 3V 1.5mW 5V 8.3mW

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

JTAGプローブ技術資料 RX編Rev.7

JTAGプローブ技術資料 RX編Rev.7 RX ファミリ編 2018. 4:Rev.7 ビットラン株式会社 www.bitran.co.jp ご注意 1. 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2. 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3. 当社の許可無く複製 改変などを行う事は出来ません 4. 本書及びプログラムの内容について万全を期して作成いたしましたが

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

表 信号端子 端子名 入出力 機能 DTR 出力 COM ポート DTR (Data Terminal Ready Control Output / Handshake Signal) RXD 入力 COM ポート RXD (Receiving Asynchronous Data Input) TX

表 信号端子 端子名 入出力 機能 DTR 出力 COM ポート DTR (Data Terminal Ready Control Output / Handshake Signal) RXD 入力 COM ポート RXD (Receiving Asynchronous Data Input) TX ご使用前に必ずお読みください USB シリアル変換モジュール MM-FT3 取扱説明書 この度は USB シリアル変換モジュール MM-FT3 をお買い求めいただきまして誠にありがとうございます 本製品は FTDI 社製の USB シリアル変換 IC FT3RQ を搭載した USB シリアル変換モジュールです FT3RQ は USB.0 対応 発振回路を内蔵 動作設定用 EEPROM 内蔵 3.3V

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

ユーザーズマニュアル(SVCCシリーズ)

ユーザーズマニュアル(SVCCシリーズ) SV-NET CONTROLLER SVCC SV-NET Controller SVCC SV-NET Controller Compact SV-NET SVCC SVCC SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact SV-NET Controller Ether C OS C

More information

1 122

1 122 6 1 2 3 4 5 6 1 122 PhoenixBIOS Setup Utility MainAdvancedSecurityPowerExit MainSystem DevicesSecurityBootExit System Time: [XX:XX:XX] [XX:XX:XX] System Date: [XX/XX/XX] [XX/XX/XXXX] Item Specific Help

More information