DSP用いたスイッチング電源回路 軽負荷場合の効率向上手法の検討

Size: px
Start display at page:

Download "DSP用いたスイッチング電源回路 軽負荷場合の効率向上手法の検討"

Transcription

1 第 56 回システム LSI 合同ゼミ Gunma-Univ. Kobayashi Lab 2014 年 1 月 18 日 ( 土 ) 於早稲田大学 DSP を用いたスイッチング電源回路 軽負荷場合の効率向上手法の検討 群馬大学 工学研究科電気電子専攻 靳光磊 ( ジンコウライ ) 1

2 OUTLINE 研究背景 目的 電源効率劣化の原因 研究方法 BLPFC AC/DC 変換回路部の検討 リンク電圧最適可変 50% 負荷 ) PWM( スイッチング周波数 ) の最適可変 (@ 5%~20% 負荷 ) PSFB DC/DC 変換回路部の検討 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) まとめ 2

3 OUTLINE 研究背景 目的 電源効率劣化の原因 研究方法 BLPFC AC/DC 変換回路部の検討 リンク電圧最適可変 50% 負荷 ) PWM( スイッチング周波数 ) の最適可変 (@ 5%~20% 負荷 ) PSFB DC/DC 変換回路部の検討 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) まとめ 3

4 背景 : サーバ用電源の省エネ傾向 サーバ用電源 普段は軽負荷で動作 従来 軽負荷効率が低いエネルギー浪費 N+1 運転方式 80 PLUS 電源効率 80% 以上標準 % of Rated Load 10% 20% 50% 100% BRONZE N/A 80% 85% 81% SILVER N/A 85% 89% 85% GOLD N/A 88% 92% 88% PLANTINUM N/A 90% 94% 91% TITANIUM 90% 94% 96% 91% 4

5 研究目的サーバ電源設計目標 サーバ電源回路構成 AC/DC 部分 +DC/DC 部分 5

6 力率改善回路 (PFC:Power Factor Correction) 理想状態の入力電圧 電流 実際の入力電圧 電流 送配電設備 ( 進相コンデンサなど ) を損傷させる PFC 回路の仕事 6

7 DC/DC コンバータ回路 家庭電源 100V 整流回路 DC/DC コンバータ 電子機器 7

8 OUTLINE 研究背景 目的 電源効率劣化の原因 研究方法 BLPFC AC/DC 変換回路部の検討 リンク電圧最適可変 50% 負荷 ) PWM( スイッチング周波数 ) の最適可変 (@ 5%~20% 負荷 ) PSFB DC/DC 変換回路部の検討 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) まとめ 8

9 サーバ電源効率劣化の原因 1 リンク電圧 Output 12V dc サーバ電源回路構成 入力電圧範囲 (AC V) リンク電圧 400V 出力電圧 12V リンク電圧高い 効率劣化 9

10 PFC の原理 1 整流 整流 正 逆 10

11 PFC の原理 2 昇圧 e L I L e L I L I o V i ON V i V o スイッチ ON e L I L V i V o スイッチ OFF 11

12 V i e L ON I L L に流れる電流変化分 定常状態 e L =V i i u = 1 L T 1 e L dt 0 i u = V i L T on エネルギーをチャージ T on エネルギーを出す e L I L e L = V o V i V i T on V o V i V o 一定 L に流れる電流変化分 e L 一定 i d = V o V i L T off 定常状態 i d =i u V o = (1 + T on T off ) V i 12

13 リンク電圧により損失 e L I L I o リアクトル損失 鉄損 V i V o ヒステリシス損 P h = k h` e L 1.6 f 0.6 うず電流損 P e = k e` (te L) 2 e L = V o V i f

14 サーバ電源効率劣化の原因 2 DIODE 損失 P SW(DIODE) = 0.5 V REVERSE I RR(PEAK) t RR f S MOS-FET 損失 P SW(MOSFET) = 0.5 V D I D (t SW(ON) +t SW(0FF) ) f S 14

15 PWM(Pulse Width Modulation) 制御 スイッチ ON OFF LED 明 暗 15

16 1DIODE 損失 正電圧 逆電圧 電力損失 = 回復電圧 電流スパイク 時間 周波数 P SW(DIODE) = 0.5 V REVERSE I RR(PEAK) t RR f S 16

17 1MOSFET 損失 V DS V D I D I DS T ON T OFF Switching Loss V D I D V DS I DS T ON T OFF 電力損失 = ドレイン - ソース電圧 チャネル電流 時間 周波数 P SW(MOSFET) = 0.5 V D I D (t SW(ON) +t SW(0FF) ) f S 17

18 各素子損失と周波数の関係 18

19 提案方法 電源回路ハーフロードと 20% 近くの軽負荷領域での効率の向上方法を検討する 従来手法 提案手法 効率劣化 回路トポロジー Hardware DSPデジタル制御 Software

20 OUTLINE 研究背景 目的 電源効率劣化の原因 研究方法 BLPFC AC/DC 変換回路部の検討 リンク電圧最適可変 50% 負荷 ) PWM( スイッチング周波数 ) の最適可変 (@ 5%~20% 負荷 ) PSFB DC/DC 変換回路部の検討 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) まとめ 20

21 研究方法 電源回路を二つの部分に分けて検討を行なう BLPFC AC/DC 回路部分 (Bridgeless Power Factor Correction AC/DC) 負荷率 50% リンク電圧 負荷率 10%~20% PWM 周波数 PSFB DC/DC 回路部分 (Phase Shift Full Bridge DC/DC) 負荷率 10%~20% PWM 周波数 21

22 研究方法開発ボード紹介 BL PFC Electrical Specifications 400Vdc Output リンク電圧 PWM frequency 200kHz HVPSFB Kit Specifications 400V dc Input(370Vdc to 410Vdc) 100kHz switching frequency 22

23 研究方法 開発環境 Code Composer Studio (CCS) は テキサス インスツルメンツ (TI) の組込みプロセッサ向けの統合開発環境です BLPFC AC/DC 回路部分 (Bridgeless Power Factor Correction) リンク電圧の最適可変 PWM( スイッチング周波数 ) の最適可変 PSFB DC/DC 回路部分 (Phase Shift Full Bridge DC/DC) PWM( スイッチング周波数 ) の最適可変 上記の可変部分はプログラムの開発によって 実現可能 23

24 OUTLINE 研究背景 目的 電源効率劣化の原因 研究方法 BLPFC AC/DC 変換回路部の検討 リンク電圧最適可変 50% 負荷 ) PWM( スイッチング周波数 ) の最適可変 (@ 5%~20% 負荷 ) PSFB DC/DC 変換回路部の検討 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) まとめ 24

25 BLPFC AC/DC 回路部分 電源回路出力効率劣化原因 1 入力電圧 (85~265)< リンク電圧 (390V~400V) 解決方法として DSP が入力電圧の実効値をモニタし リンク電圧をリアル可変にする 入力電圧の検出 Vin_N,Vin_L DSP に取り込む 交流入力の実効値の計算 V rms リンク電圧 V out = 最適昇圧比 x V rms 25

26 効率 % BLPFC AC/DC 回路の実験結果 AC 入力電圧 Vin=100V スイッチング周波数 200kHz 固定 負荷容量 50%(150W 出力 ) 100% 98% 96% 94% 92% PFC 効率 90% 88% リンク電圧 (V) リンク電圧は 190V 以下は NG 最適リンク電圧は 200V 26

27 BLPFC AC/DC 回路部分 軽負荷の状況 : 電源回路の固定周波数の PWM 制御での MOS スイッチロスにより 効率が大幅に劣化する 最適制御で効率改善できるのか? 軽負荷 + 固定周波数 軽負荷 + 可変周波数 軽負荷の場合 (Load rate :5%~20%) デジタル制御で PWM スイッチング周波数を変化によっての PFC AC/DC 回路の効率向上効果の検討 提案 27

28 効率 % BLPFC AC/DC AC 入力電圧 Vin=100V リンク電圧 (PFC 出力電圧 )400V 固定 従来の固定周波数 100.0% 95.0% 90.0% 85.0% 80.0% 75.0% 0% 5% 10% 15% 20% 25% 負荷率 % 200KHz 190KHz 180KHz 170KHz 160KHz 150KHz 140KHz 130KHz 120KHz 110KHz 100KHz 28

29 効率 % BLPFC AC/DC AC 入力電圧 Vin=100V リンク電圧 (PFC 出力電圧 )400V 固定 従来の固定周波数 100.0% 95.0% 90.0% 85.0% 80.0% 75.0% 0% 5% 10% 15% 20% 25% 負荷率 % 200KHz 190KHz 180KHz 170KHz 160KHz 150KHz 140KHz 130KHz 120KHz 110KHz 100KHz 29

30 効率 % BLPFC AC/DC 回路部分周波数最適変化の結果 94.0% 92.0% AC 入力電圧 Vin=100V リンク電圧 (PFC 出力電圧 )400V 固定 従来の周波数は固定されている 90.0% 88.0% 86.0% 84.0% 82.0% 80.0% 0% 5% 10% 15% 20% 25% 負荷率 % LOAD 最適周波数 5%-10% 150kHz 10%-15% 170kHz 15%-20% 190kHz 20%~ 200kHz プログラムで実現! 30

31 OUTLINE 研究背景 目的 電源効率劣化の原因 研究方法 BLPFC AC/DC 変換回路部の検討 リンク電圧最適可変 50% 負荷 ) PWM( スイッチング周波数 ) の最適可変 (@ 5%~20% 負荷 ) PSFB DC/DC 変換回路部の検討 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) まとめ 31

32 PSFB DC/DC 回路部分 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) PWM 固定周波数 軽負荷 (10%~20% 負荷 ) 解決方法 軽負荷 Phase Shift Full Bridge DC/DC Circuit 32

33 PSFB DC/DC 回路部分 VMC Control Flow PWM Drive Module 2pole 2zero control 3 Frequency ADC Drive Module 2 Frequency Iout 1 赤い部分が本実験のプログラム追加部分 33

34 PSFB DC/DC 回路部分 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) 異なる PWM 周波数においての DC/DC 回路効率 効率 87% 実験結果 86% 85% 84% 83% 100kHz 90kHz 80kHz 70kHz 最適 82% 81% 80% 79% 10% 12% 14% 16% 18% 20% 10%~20% Load Rate 軽負荷 : スイッチング周波数を 70kHz に変更する 50% 負荷重負荷 : スイッチング周波数を 100kHz に戻す プログラムで実現! 34

35 OUTLINE 研究背景 目的 電源効率劣化の原因 研究方法 BLPFC AC/DC 変換回路部の検討 リンク電圧最適可変 50% 負荷 ) PWM( スイッチング周波数 ) の最適可変 (@ 5%~20% 負荷 ) PSFB DC/DC 変換回路部の検討 PWM( スイッチング周波数 ) の最適可変 (@10%~20% 負荷 ) まとめ 35

36 まとめ 現段階の成果 従来 リンク電圧高い + PWM 固定周波数 提案 リンク電圧低減 ( 低昇圧比 ) + PWM 周波数リアル可変 デジタル制御 AC/DC リンク電圧可変 : 効率 負荷 AC/DC PWM 周波数可変 : 効率 負荷 DC/DC PWM 周波数可変 : 効率 負荷 最終目標電源回路 (PFC AC/DC+DC/DC) % of Rated Load 10% 20% 50% 100% TITANIUM 90% 94% 96% 91% 問題点 PFC AC/DC ボードと DC/DC ボードを繋がって 全体的な効率の向上を検討する 36

37 謝辞 日ごろご指導いただいています落合政司先生 ( 群馬大学客員教授 サンケン電気技師長 ) 小堀康功先生 ( 群馬大学客員教授 小山高専教授 ) に感謝をいたします

38 ご清聴ありがとうございました

39 Q1: なぜ PFC の入力電圧は (90V~265V) ですか? A: 国により一般家庭回路の電圧が違います 例えば日本の場合は 100V でユーロッパなれば 220V です そのため 入力電圧は (90V~265V) すべて対応しなければなりません Q2: 今回実験で 100V 入力を使う原因はなんですか? A: 日本の一般家庭回路の電圧 100V のため 今回実験を使う電圧は 100V にしました 今後は入力電圧の変化により効率にどの影響を与えるのがについて検討したいと考えています Q3: なぜ軽負荷を重視していますか? A: 従来の電源は 50% で動作する場合が多いため 軽負荷時の効率は低いです しかし サーバ電源は N+1 方式により 20%~50% の軽負荷で動作する場合が多いので 軽負荷でも効率が良い電源を開発するのが今回の 目的です

40 Q4: サーバ電源の N+1 運転方式について説明してください A:N+1 運転方式とは従来の電源ユニットの N より もう一つの電源を並列することです 例えば負荷が 100W 必要の場合 2 つ 50W の電源以外 もう一つの 50W の電源を並列します 若し 一つの電源が故障しても 残りの電源で装置に電力を供給し続けることができる運転方法です このような方式は N+1 運転方式と呼ばれています Q5: 今回のリンク電圧の検討は 50% に限られていますが 負荷が変動する場合 リンク電圧も変わりますか? A: 負荷が変動する場合 リンク電圧の最適値の選択ほうほうは今後の課題の一つです Q6: 今までの成果は? A: 提案手法により 従来の電源効率より大幅の改善が明らかに変わりました 今後は二つのボードを繋げて80PLUSの最高認定を実現したいと思います

PFC回路とAC-DC変換回路の研究

PFC回路とAC-DC変換回路の研究 第 2 回電気学会東京支部栃木 群馬支所合同研究発表会 2012/2/29 EG1112 PFC 回路と ACDC 変換器 村上和貴小堀康功邢林高虹 小野澤昌徳小林春夫高井伸和新津葵一 ( 群馬大学 ) Outline 研究背景と目的 PFCについて 従来 PFC 付 ACDC 変換器 新提案 PFC 付 ACDC 変換器 シミュレーションによる検討 まとめ Outline 研究背景と目的 PFCについて

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output H28 群馬大学大学院講義パワーエレクトロニクス工学論

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output 10-1 10.1 降圧形 昇圧形 SIDO 電源 (1)

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Power.indb

Power.indb I/O SN 1A RoHS CPU DC AC DC AC 52017mm3.5g I/O NY 2,500 V rms RoHS PLC SN - A 100 B F SN A AC D DC 100 100VAC 200 200VAC 12/24 12/24VDC AC B DC B AC AC 100VAC F DC 252 2 ma rms SN 1A SN - 12 D 01 HZ C

More information

DC-DCソフトスイッチング電源における低コスト高効率技術の研究

DC-DCソフトスイッチング電源における低コスト高効率技術の研究 電気学会電子回路研究会 2016.10.06 クランプ付単インダクタ 2 出力方式 半波電圧共振型ソフトスイッチング電源 小堀康功, 深谷太詞 ( 小山工業高等専門学校 ) 築地伸和, 須永祥希, 荒船拓也, 高井伸和, 小林春夫 ( 群馬大学 ) 1. はじめに アウトライン 2. ソフトスイッチング電源の概要 2-1 従来降圧型スイッチング電源 2-2 半波型電圧共振電源 2-3 シミュレーション結果

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

USER'S GUIDE

USER'S GUIDE AC/DC Converter 非絶縁降圧型 PWM 方式 2W -12V 出 BM2P129TF 評価ボード 評価ボードは 90Vac 264Vacの から-12Vの負電圧を出 します 出 電流は最 0.167Aを供給します 650V MOSFET 内蔵 PWM 方式 DC/DCコンバータICのBM2P129TFを使用しています BM2P129TFは 650V 耐圧起動回路内蔵により 低消費電 に貢献します

More information

富士通セミコンダクタープレスリリース 2013/04/22

富士通セミコンダクタープレスリリース 2013/04/22 [ プレスリリース ] 2013 年 4 月 22 日富士通セミコンダクター株式会社 低炭素社会に貢献するエナジーハーベスティング電源 IC 2 製品を新発売 ~ 電子機器やワイヤレスセンサーノードなどの電池レス化を実現 ~ 富士通セミコンダクター株式会社 ( 注 1) は エナジーハーベスティング電源 IC として 降圧型 DC/DC コンバーター ( 注 2) MB39C811 と 昇圧型 DC/DC

More information

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 : テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :TMA TMT/TMR) の更新用 1 入出力の種類 E1:Di2 点 +Do2 点 ( リレー ) E2:Di2 点

More information

電子回路I_8.ppt

電子回路I_8.ppt 電子回路 Ⅰ 第 8 回 電子回路 Ⅰ 9 1 講義内容 1. 半導体素子 ( ダイオードとトランジスタ ) 2. 基本回路 3. 増幅回路 小信号増幅回路 (1) 結合増幅回路 電子回路 Ⅰ 9 2 増幅の原理 増幅度 ( 利得 ) 信号源 増幅回路 負荷 電源 電子回路 Ⅰ 9 3 増幅度と利得 ii io vi 増幅回路 vo 増幅度 v P o o o A v =,Ai =,Ap = = vi

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

USER'S GUIDE

USER'S GUIDE スイッチングレギュレータシリーズ 絶縁型フライバック DC/DC コンバータ BD7F200EFJLB 評価ボード (24V 15V, 0.15A 4ch) 評価ボードは 絶縁型フライバック DC/DC コンバータ IC の BD7F200EFJLB を使用して 24V の入力から 15V の 電圧 4ch を出力します 出力電流は最大 0.15A を供給します 性能仕様 これは代表値であり 特性を保証するものではありません

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

USER'S GUIDE

USER'S GUIDE スイッチングレギュレータシリーズ 絶縁型フライバック DC/DC コンバータ BD7F100EFJLB 評価ボード (24V ±15V, 0.165A) 評価ボードは 絶縁型フライバック DC/DC コンバータ IC の BD7F100EFJLB を使用して 24V の入力から 15V,15V の 2 種類の電圧を出力します 出力電流は最大 0.165A を供給します 性能仕様 これは代表値であり

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

Microsoft PowerPoint - semi_ppt07.ppt

Microsoft PowerPoint - semi_ppt07.ppt 半導体工学第 9 回目 / OKM 1 MOSFET の動作原理 しきい電圧 (V( TH) と制御 E 型と D 型 0 次近似によるドレイン電流解析 半導体工学第 9 回目 / OKM 2 電子のエネルギーバンド図での考察 金属 (M) 酸化膜 (O) シリコン (S) 熱平衡でフラットバンド 伝導帯 E c 電子エネルギ シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない

More information

Microsoft PowerPoint - semi_ppt07.ppt [互換モード]

Microsoft PowerPoint - semi_ppt07.ppt [互換モード] 1 MOSFETの動作原理 しきい電圧 (V TH ) と制御 E 型とD 型 0 次近似によるドレイン電流解析 2 電子のエネルギーバンド図での考察 理想 MOS 構造の仮定 : シリコンと金属の仕事関数が等しい 界面を含む酸化膜中に余分な電荷がない 金属 (M) 酸化膜 (O) シリコン (S) 電子エ金属 酸化膜 シリコン (M) (O) (S) フラットバンド ネルギー熱平衡で 伝導帯 E

More information

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力を用意 密着取付可能 アプリケーション例 容積式流量計のパルス信号を単位パルスに変換 機械の回転による無接点信号を単位パルスに変換

More information

Microsoft PowerPoint - Portable Power Supply 01.pptx

Microsoft PowerPoint - Portable Power Supply 01.pptx 目次 1 はじめに 1 1) 接続ジャック 2) 出力入切スイッチ 3) インバータ制御スイッチ 4) 電源入力切換スイッチ p Mode 5) 充電表示 LED 6) 電流計表示切換スイッチ Cur Meter 2 仕様 1 3 利用法 1 4 機器説明 2 5 シーン別使用法 3 6 故障対処法 4 7 回路図 5 8 操作パネル図 部品配置図 6 1 はじめに 本装置は キャンプや車中泊 旅行の間に携帯電話やカメラ

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

B3.並列運転と冗長運転(PBAシリーズ)

B3.並列運転と冗長運転(PBAシリーズ) B3. 並列運転と冗長運転について 3.1 並列運転 ( 容量アップ ) PBA(PBA300F~PBA1500F(T)) シリーズにつきまして 並列運転をすることが可能です 1 並列運転とはの容量不足を補うために複数のを並列接続し 電流容量を増加させる方法です 2 PBA10F~PBA150F のモデルにつきまして 並列運転はできません 冗長運転のみ対応ができます ( 項 3.2 参照 ) 図 3.1.1

More information

4. 電源仕様 FA5695N Reference Design Item Value Unit Input voltage 85 to 264 Vac Output voltage 390 Vdc Output power 200 W Overcurrent limiting of power M

4. 電源仕様 FA5695N Reference Design Item Value Unit Input voltage 85 to 264 Vac Output voltage 390 Vdc Output power 200 W Overcurrent limiting of power M 力率改善制御 IC FA5695N 電源設計例 : 390V/200W Reference Design. 概要本資料は 力率改善制御用 IC FA5695N シリーズを使用した PFC 回路の設計例です 出力電力は 200W で構成されています 2. 特長 入力電圧検出レスにより低待機電力 高精度電流検出 :0.6V±5% 最大周波数制限機能により軽負荷時の効率改善 ソフトスタート ダイナミック

More information

様々なバリエーションを持つ スタイルテックのユニットシリーズです 入力信号は とアナログに 出力は位相制御と に対応しています のメモリ機能を持ったモデルもラインナップしています 製品ラインナップ 型式 DS DSS DSPR DSSPR DSP DSSP DSL DSSL DSR DSSR DSA

様々なバリエーションを持つ スタイルテックのユニットシリーズです 入力信号は とアナログに 出力は位相制御と に対応しています のメモリ機能を持ったモデルもラインナップしています 製品ラインナップ 型式 DS DSS DSPR DSSPR DSP DSSP DSL DSSL DSR DSSR DSA ディマーユニット 調光装置 コントローラ 調光盤 調光盤 スタイルテックは調光器専門メーカーです アプリケーションに合わせた調光システムを作製します カタログ製品の他 特注で大型調光システムを製作しています 様々なに対応いたします 株式会社スタイルテック 2014C 製品は予告なく変更となる場合があります あらかじめご了承ください 様々なバリエーションを持つ スタイルテックのユニットシリーズです 入力信号は

More information

形式 :KAPU プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 20

形式 :KAPU プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 20 プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 2000V AC 密着取付可能 9012345678 ABCDEF SPAN ZERO CUTOUT CUTOUT ADJ.

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

PSIM Ver9.0 の新機能 PSIM Version 9.0 の新機能のハイライト 太陽電池モデルモデル 風車風車モデルモデル MPPT サンプルを追加 (Renewable Energy Models) TI 社の DSP F28335 対応のコードのコード生成機能 (SimCoder Module) 温度変化によるデバイスによるデバイス特性特性を考慮 (Thermal Module) THD,

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

THYRISTOR 100A Avg 800 Volts PGH101N8 回路図 CIRCUIT 外形寸法図 OUTLINE DRAWING Dimension:[mm] 総合定格 特性 Part of Diode Bridge & Thyristor 最大定格 Maximum Ratings 項

THYRISTOR 100A Avg 800 Volts PGH101N8 回路図 CIRCUIT 外形寸法図 OUTLINE DRAWING Dimension:[mm] 総合定格 特性 Part of Diode Bridge & Thyristor 最大定格 Maximum Ratings 項 THYRISTOR 100A Avg 800 Volts PGH101N8 回路図 CIRCUIT 外形寸法図 OUTLINE DRAWING Dimension:[mm] 総合定格 特性 Part of Diode Bridge & Thyristor 最大定格 Maximum Ratings 平均出力電流 Average RectifiedOutput Current 動作接合温度範囲 OperatingJunctionTemperature

More information

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt 応用電力変換工学舟木剛 第 5 回本日のテーマ交流 - 直流変換半端整流回路 平成 6 年 月 7 日 整流器 (cfr) とは 交流を直流に変換する 半波整流器は 交直変換半波整流回路 小電力用途 入力電源側の平均電流が零にならない あんまり使われていない 全波整流回路の基本回路 変圧器が直流偏磁しやすい 変圧器の負荷電流に直流分を含むと その直流分により 鉄心が一方向に磁化する これにより 鉄心の磁束密度の増大

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

EFE SERIES 基ユ板a_EFE_1 記載内容は 改良その他により予告なく変更する場合がありますので あらかじめご了承ください EFE 3 UL EN 電圧 CSA C22.2 EN (300M ) N 特長 型名呼称方法 デジタル制御回

EFE SERIES 基ユ板a_EFE_1 記載内容は 改良その他により予告なく変更する場合がありますので あらかじめご了承ください EFE 3 UL EN 電圧 CSA C22.2 EN (300M ) N 特長 型名呼称方法 デジタル制御回 SERIES 基ユ板a 1 記載内容は 改良その他により予告なく変更する場合がありますので あらかじめご了承ください 3 UL60950-1 EN60950-1 電圧 CS C22.2 EN60601-1(300M ) N.60950-1 特長 型名呼称方法 デジタル制御回路内蔵 高効率化 :90%(300J) 300 J 24 - 小型化 : 従来モデル体積比較 35% 減 :1Uラック実装可能な薄型形状

More information

ROHM DC/DC Designer ユーザーズガイド

ROHM DC/DC Designer ユーザーズガイド ROHM の Online Design Tool ROHM DC/DC Designer ユーザーズガイド 目次 1.ROHM DC/DC Designer とは? 1.1 概要 1.2 対象製品 1.3 環境構築 1.4 注意事項 1.5 お問い合わせ先 2. アクセス方法 2.1 ロームのホームページ (http://www.rohm.co.jp/web/japan/) の TOP ページから

More information

電子回路I_6.ppt

電子回路I_6.ppt 電子回路 Ⅰ 第 6 回 電子回路 Ⅰ 7 講義内容. 半導体素子 ( ダイオードとトランジスタ ). 基本回路 3. 増幅回路 バイポーラトランジスタの パラメータと小信号等価回路 二端子対回路 パラメータ 小信号等価回路 FET(MOFET) の基本増幅回路と等価回路 MOFET の基本増幅回路 MOFET の小信号等価回路 電子回路 Ⅰ 7 増幅回路の入出力インピーダンス 増幅度 ( 利得 )

More information

TO: Katie Magee

TO:	Katie Magee アプリケーション ノート AN-1053 ip1201 または ip1202 を搭載した回路の電源起動法 David Jauregui, International Rectifier 目次項 1 はじめに...2 2 電源起動法...2 2.1 シーケンシャルな立ち上げ...3 2.2 比例関係を保った立ち上げ...3 2.3 同時立ち上げ...4 3 結論...6 多くの高性能な DSP( デジタル

More information

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 ) リレー接点は 110V DC 使用可 AEDY-12345-67 価格基本価格 75,000 円加算価格 110V

More information

457.ai

457.ai 位置制御ユニットの概要 24/2 48 Watt まで DC モータ ブラシレス EC モータに対応 2 x デジタル出力 モジュール ハウジング詳細は 458-460 ページ 小型装置 ドライブ テクノロジー 24/2 380264, 390003 390438, 530239 Module 36/2 72 Watt まで DC モータ ブラシレス EC モータに対応 3 x デジタル出力 オープン基板

More information

力率 1.0(100%) の場合 100% の定格出力まで有効電力として発電し 出力できます 力率 0.95(95%) の場合は 定格出力の 95% 以上は有効電力として出力できません 太陽光発電所への影響 パワコンの最大出力が 95% になるので 最大出力付近ではピークカットされます パワコンの出

力率 1.0(100%) の場合 100% の定格出力まで有効電力として発電し 出力できます 力率 0.95(95%) の場合は 定格出力の 95% 以上は有効電力として出力できません 太陽光発電所への影響 パワコンの最大出力が 95% になるので 最大出力付近ではピークカットされます パワコンの出 力率一定制御についての Q&A 集 2018 年 5 月 31 日 JPEA 事務局 2017 年 3 月の系統連系規程改定により 低圧配電線に逆潮流ありで連系する太陽光発電設備の標準力率値は 0.95 とすることが規定されました パワコンメーカーでは力率を 0.95 に設定することができる機能を付加した製品を順次市場に送り出しております このようなパワコンでは 力率値を 0.95 に設定する必要があります

More information

ELM604PA_JP.indd

ELM604PA_JP.indd ELM6PA.MHz, 8mA PWM 降圧デュアル DC/DC コンバータ 概要 ELM6PA は電流モード制御と.MH z 固定周波数で動作する高効率のデュアル同期式降圧 PWM 型 DC/DC コンバータです 同期整流方式のため外部ダイオードは不要です 単一セルのリチウムイオン (Li +) バッテリを持つため 携帯用電子機器の応用に最適です 各コンバータは 入力電圧.5V から 6V で動作し

More information

LM2831 高周波数動作 1.5A 負荷 降圧型DC/DCレギュレータ

LM2831 高周波数動作 1.5A 負荷 降圧型DC/DCレギュレータ High Frequency 1.5A Load - Step-Down DC-DC Regulator Literature Number: JAJSAH7 1.5A DC/DC 5 SOT23 6 LLP PWM DC/DC DC/DC PCB 0.5 m BiCMOS 1.5A 130m PMOS 30ns 3V 5.5V 0.6V 550 khz 1.6MHz 3.0MHz 93% 30nA

More information

DEJ0007

DEJ0007 設計例 STR3A453D 使用 24 W (24V, 1 A) オフライン降圧コンバータ設計例 _Rev.1.2 サンケン電気株式会社 1 目次 1. まえがき ---------------------------------------------------------------------------------------------------- 3 2. 電源の特長 -------------------------------------------------------------------------------------------------

More information

ハードディスクキャビネット PRIMERGY SX30 [ PG-R1DC7, PG-DC107 ]

ハードディスクキャビネット PRIMERGY SX30 [ PG-R1DC7, PG-DC107 ] ハードディスクキャビネット PRIMERGY [ PG-R1DC7, PG-DC107 ] (1) 概要本製品は システムディスク容量を増加させるラックマウント型 / タワー型のディスクキャビネットです HDD を最大 14 台収容可能で 電源とファンに冗長性を持つ高信頼 大容量を特徴とします (2) 特長 1 Ultra320 SCSI ( 最大転送速度 320MB/s ) をサポート 2 15,000rpm

More information

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析 [17.7 White Paper] 6 V 系スーパージャンクションパワー MOSFET TO-247-4L パッケージのシミュレーションによる解析 MOSFET チップの高速スイッチング性能をより引き出すことができる 4 ピン新パッケージ TO-247-4L 背景 耐圧が 6V 以上の High Voltage(HV) パワー半導体ではオン抵抗と耐圧のトレードオフの改善を行うためスーパージャンクション

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

elm600xb_jp.indd

elm600xb_jp.indd 概要 ELM600xB は電流モード制御 1.5MHz 固定周波数で動作する高効率の同期整流降圧 PWM 型 DC/DC コンバータです 同期整流方式のため外部ダイオードは不要です 内部消費電流は 100μAで動作し シャットダウン電流は1μA 以下です 入力 2.5V から 5.5V で動作し 1A の出力電流を 0.6V までの設定電圧にレギュレーションします スイッチング周波数は 1.5MHz

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

Microsoft Word - サイリスタ設計

Microsoft Word - サイリスタ設計 サイリスタのゲート回路設計 サイリスタはパワエレ関係の最初に出てくる素子ですが その駆動用ゲート回路に関する文献が少なく 学 生が使いこなせないでいる ゲート回路の設計例 ( ノイズ対策済み ) をここに記しておく 基本的にサイリス タのゲート信号は電流で ON させるものです 1. ノイズ対策済みゲート回路基本回路の説明 図 1 ノイズ対策済みゲート回路基本回路 1.1 パルストランス パルストランスは

More information

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質 形式 :SML スーパー M UNIT シリーズ リモート入出力ユニット (NestBus 用 ) 主な機能と特長 NestBus 接続用のリモート入出力ユニット 分散設置 増設が簡単なオールインワン構造 伝送路はより対線 伝送端子は脱着可能なコネクタ式を採用 自己診断機能内蔵 接点入出力ユニットは入出力状態表示ランプ付 SML-R2 以外 SML-R2 R3:Ai4 点 +Ao4 点 150,000

More information

THYRISTOR 100A Avg 800 Volts PGH100N8 回路図 CIRCUIT 外形寸法図 OUTLINE DRAWING Dimension:[mm] 総合定格 特性 Part of Diode Bridge & Thyristor 最大定格 Maximum Ratings 項

THYRISTOR 100A Avg 800 Volts PGH100N8 回路図 CIRCUIT 外形寸法図 OUTLINE DRAWING Dimension:[mm] 総合定格 特性 Part of Diode Bridge & Thyristor 最大定格 Maximum Ratings 項 THYRISTOR 100A Avg 800 Volts PGH100N8 回路図 CIRCUIT 外形寸法図 OUTLINE DRAWING Dimension:[mm] 総合定格 特性 Part of Diode Bridge & Thyristor 最大定格 Maximum Ratings 平均出力電流 Average RectifiedOutput Current 動作接合温度範囲 OperatingJunctionTemperature

More information

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載 S MLD-030-ST ブラシレス DC モータドライバ コネクター定義 マーク 定義 DC+/DC- DC 電源入力 (DC24~DC48),, モータリード線 Hu,Hv,Hw ホール素子リード線 REF+ ホール素子電源 (+) REF- ホール素子電源 (-) S 外部ポテションメータ ( 内蔵ポテションメータにてスピード調整時は接続なし ) 又は記述 1 のパルスレート COM コモングラウンド

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

DMシリーズセンダスト (Fe-Si-Al) コイルの許容両端電圧 :V D はんだ処理部最大外径 :D( 縦方向 ),( 横方向 ) 最大幅 : リード全長 :=± はんだ処理境界 :=.MAX コイル品番 HDM24AQDVE 定格電流インダクタンス (khz ) 最大直流抵抗巻線仕様外形寸法

DMシリーズセンダスト (Fe-Si-Al) コイルの許容両端電圧 :V D はんだ処理部最大外径 :D( 縦方向 ),( 横方向 ) 最大幅 : リード全長 :=± はんだ処理境界 :=.MAX コイル品番 HDM24AQDVE 定格電流インダクタンス (khz ) 最大直流抵抗巻線仕様外形寸法 DM シリーズ 主な用途 スイッチング電源出力平滑用チョーク DC-DC コンバータ用チョーク ノイズ対策用ノーマルモードチョーク 力率改善回路用チョーク 特長 周波数特性 温度特性に優れています フェライトに比べて 飽和磁束密度が高いため 直流重畳特性が良く 小形化できます コアの電流重畳特性 () 9 8 コアの電流重畳特性 (2) 9 8 Percent permebility [%] 7 6

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

Microsoft Word - TA79L05_06_08_09_10_12_15_18_20_24F_J_P11_070219_.doc

Microsoft Word - TA79L05_06_08_09_10_12_15_18_20_24F_J_P11_070219_.doc 東芝バイポーラ形リニア集積回路シリコンモノリシック TA79L05F,TA79L06F,TA79L08F,TA79L09F,TA79L10F, TA79L12F,TA79L15F,TA79L18F,TA79L20F,TA79L24F 5, 6, 8, 9, 10, 12, 15, 18, 20, 24 三端子負出力固定定電圧電源 特長 TTL C 2 MOS の電源に最適です 外付け部品は不要です

More information

ACモーター入門編 サンプルテキスト

ACモーター入門編 サンプルテキスト 技術セミナーテキスト AC モーター入門編 目次 1 AC モーターの位置付けと特徴 2 1-1 AC モーターの位置付け 1-2 AC モーターの特徴 2 AC モーターの基礎 6 2-1 構造 2-2 動作原理 2-3 特性と仕様の見方 2-4 ギヤヘッドの役割 2-5 ギヤヘッドの仕様 2-6 ギヤヘッドの種類 2-7 代表的な AC モーター 3 温度上昇と寿命 32 3-1 温度上昇の考え方

More information

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S 反転型チャージポンプ IC Monolithic IC MM3631 概要 MM3631X は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの SOT-26B (2.9 2.8 1.15mm) の小型パッケージを採用しています CE 端子を内蔵しており スタンバイ時は 1 μ A 以下と待機時電流を低減しています

More information

有効電力(w)と皮相電力(VA)の混同について

有効電力(w)と皮相電力(VA)の混同について 有効電力 (w) と皮相電力 (VA) の 混同について ホワイトペーパー # 15 改訂 1 版 ニール ラスムセン 目次 > 要約 このホワイトペーパーでは 有効電力 ( 消費電力 ) (W) と皮相電力 ( 見かけ上の電力 ) (VA) の違い および UPS と負荷装置の仕様において これらの単位が正しく使用されているかについて説明します セクションをクリックすると そのセクションに直接移動します

More information

第2 世代臨界モードPFC 制御IC「FA5590 シリーズ」

第2 世代臨界モードPFC 制御IC「FA5590 シリーズ」 FA5590 Series of 2nd Generation Critical Mode PFC Control ICs 菅原敬人 Takato Sugawara 大和誠 Makoto Owa 手塚伸一 Shinichi Tezuka 電源の消費電力の削減に貢献するため, 第 2 世代の臨界モード PFC(Power Factor Correction) 制御 IC FA5590 シ リーズ を開発した

More information

Datenblatt

Datenblatt 寸法 35 55 9 Teach H.Res Norm H.Spd Off.D T.Off On.D LO DO 型番 光ファイーバーアンプ 特長 接続方法 簡単操作 表示と設定ボタンでパラメータ設定可能 ブリッジ通信でもっと簡単な配線構成が可能 ASC ( 自動信号制御 ) 透明体検出可能 マスタモジュール 3 μs ハイスピードモード +UB 13VDC V Q Release dae: 29-1-22

More information

電力線重畳型機器認証技術

電力線重畳型機器認証技術 1 電力線重畳型認証技術 RFID over Power Line System ソニー株式会社コーポレート R&D 新規事業創出部門ホームエネルギーネットワーク事業開発部 和城賢典 2012 年 4 月 17 日 2 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 3 内容 イントロダクション 基本構造 測定結果 EV 充電スタンドへの取り組み 4 RFID の原理

More information

出力電圧ランク 品名 出力電圧 品名 出力電圧 品名 出力電圧 NJU774*F15 1.5V NJU774*F28 2.8V NJU774*F4 4.V NJU774*F18 1.8V NJU774*F29 2.9V NJU774*F45 4.5V NJU774*F19 1.9V NJU774*F

出力電圧ランク 品名 出力電圧 品名 出力電圧 品名 出力電圧 NJU774*F15 1.5V NJU774*F28 2.8V NJU774*F4 4.V NJU774*F18 1.8V NJU774*F29 2.9V NJU774*F45 4.5V NJU774*F19 1.9V NJU774*F 低飽和型レギュレータ 概要 NJU7741/44 はC-MOS プロセスを使用し 超低消費電流を実現した低飽和型レギュレータです SOT-23-5 の小型パッケージに搭載し 出力電流 1mA 小型.1 Fセラミックコンデンサ対応の為 携帯機器の応用に最適です また NJU7744 には出力シャントスイッチが付いているため 端子の使用時における出力応答の高速化が可能となっております 外形 NJU7741/44F

More information

Keysight Technologies スイッチング電源の測定

Keysight Technologies スイッチング電源の測定 Keysight Technologies Application Note Keysight InfiniiVision 3000T/4000 X Keysight 3000T 4000 X Switching Mode Power Supply SMPS (DUT) SMPS Keysight InfiniiVision 3000T 4000 X DSOX3PWR DSOX4PWR Power

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

Microsoft Word - leaflet.doc

Microsoft Word - leaflet.doc 充電制御器 ( チャージコントローラー ) について 電力会社の供給電源とは別に 太陽光発電 風力発電 水力発電等の自然エネルギーを利用する場合 発電した電力を蓄電池に貯め込み 必要な時に DC-AC インバーターを経由し AC 100V の家電製品等を利用する方法が一般的に行われています 発電した電力を畜電池に充電する際 発電電圧に適合した適正な蓄電池と負荷を選定することが必要です この場合 発電装置と蓄電池を直接接続すると

More information

データシート, 型式 4503B...

データシート, 型式 4503B... Torque トルク変換器デュアルレンジオプション付 型式 0B... トルク変換器型式 0B... は 回転角度のセンサを内蔵した 歪ゲージ式トルク変換器です デジタル測定信号処理機能を備えており アナログ信号とデジタル信号の出力が可能です 高応答 : 10kHz( 周波数応答 ) 最高回転数 0,000 rpm 精度等級第 1レンジ :0.0 第 レンジ :0.1/0. デュアルレンジ ( 第

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション () 増幅回路の周波数特性 Frequency characteristic of amplifier circuit (2) 増幅回路の周波数特性 Frequency characteristic of amplifier circuit MOS トランジスタの高周波モデル High-frequency model for MOS FET ゲート酸化膜は薄いので G-S, G-D 間に静電容量が生じる

More information

<4D F736F F F696E74202D20452D335F F F815B C982E682E CD91AA92E882CC8AEE916282C6899E97705F46205B8CDD8AB B83685D>

<4D F736F F F696E74202D20452D335F F F815B C982E682E CD91AA92E882CC8AEE916282C6899E97705F46205B8CDD8AB B83685D> E-3 パワーアナライザによる電 測定の基礎と応 宮崎強 パワー アナライザのアプリケーション 電力変換 発電 インバータ モーター駆動回路 電動推進力 無停電電源 (UPS) 周波数変換 電気自動車 / ハイブリッド自動車 高効率照明 民生機器 / 事務機器 産業機器 医療機器 待機電力 2 AC 理論の基礎 RMS(Root Mean Square Value) 実効値 抵抗 R に流れる電流

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht 第 章復調回路 古橋武.1 組み立て.2 理論.2.1 ダイオードの特性と復調波形.2.2 バイアス回路と復調波形.2.3 復調回路 (II).3 倍電圧検波回路.3.1 倍電圧検波回路 (I).3.2 バイアス回路付き倍電圧検波回路 本稿の Web ページ http://mybook-pub-site.sakura.ne.jp/radio_note/index.html 1 C 4 C 4 C 6

More information

TPH1R306P1 Application Note U-MOSⅨ-H 60V 低 VDS スパイク製品 TPH1R306P1 アプリケーションノート 概要 TPH1R306P1 は 最新世代の U-MOSⅨ-H プロセス製品で 主なターゲット用途は DC-DC コンバータや AC-DC コンバー

TPH1R306P1 Application Note U-MOSⅨ-H 60V 低 VDS スパイク製品 TPH1R306P1 アプリケーションノート 概要 TPH1R306P1 は 最新世代の U-MOSⅨ-H プロセス製品で 主なターゲット用途は DC-DC コンバータや AC-DC コンバー U-MOSⅨ-H 60V 低 VDS スパイク製品 アプリケーションノート 概要 は 最新世代の U-MOSⅨ-H プロセス製品で 主なターゲット用途は DC-DC コンバータや AC-DC コンバータの2 次側同期整流部 モータ駆動などです 当社では 先行して TPH1R306PL を 2015 年 12 月より量産しています 2 次側同期整流部やモータ駆動で使用されることが多い SOP Advance

More information

PLZ-5W_ KPRI21.pdf

PLZ-5W_ KPRI21.pdf The Flagship New DC Electronic Load PLZ-5W D C E L E C T R O N I C L O A D the Flagship PLZ-5W series CC Mode / High range / 0-80A Switching Ch4 load current 20A/div Horizontal 10us/div SET[A] (100 %)

More information

形式 :RPPD 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー R

形式 :RPPD 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー R 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー RS-422 ラインドライバ パルス出力を用意 入出力仕様の異なる 2 系統のパルスアイソレータとしても使用可能 RS-422

More information

s と Z(s) の関係 2019 年 3 月 22 日目次へ戻る s が虚軸を含む複素平面右半面の値の時 X(s) も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z(s) にしていま す リアクタンス回路の駆動点リアクタンス X(s)

s と Z(s) の関係 2019 年 3 月 22 日目次へ戻る s が虚軸を含む複素平面右半面の値の時 X(s) も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z(s) にしていま す リアクタンス回路の駆動点リアクタンス X(s) と Z の関係 9 年 3 月 日目次へ戻る が虚軸を含む複素平面右半面の値の時 X も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z にしていま す リアクタンス回路の駆動点リアクタンス X も Z に含まれます Z に正弦波電流を入れた時最大値 抵抗 コイル コンデンサーで作られた受動回路の ラプラスの世界でのインピーダンスを Z とします

More information

. 素子の定格 (rating) と絶対最大定格 (absolute maximum rating ). 定格値とは定格とは, この値で使ってください という推奨値のことで, それ以外の数値で使うと性能を発揮できなかったり破損する可能性があります. ふつうは示された定格通りの値で使用します.. 絶対

. 素子の定格 (rating) と絶対最大定格 (absolute maximum rating ). 定格値とは定格とは, この値で使ってください という推奨値のことで, それ以外の数値で使うと性能を発揮できなかったり破損する可能性があります. ふつうは示された定格通りの値で使用します.. 絶対 生産システム工学科 年後期必修 単位 : センシング演習基礎第 回 素子の最大定格と分圧回路の計算 講義の必要性 学習意義, 習得していないと困ること 電気回路の理論では, 例えば 5V の電源に Ω の抵抗をつなぐと.5A の電流が流れる. これは 理論 であるから, すべての素子が理想特性を持っている前提である. しなしながら, 実際には簡単に思いつくだけでも, 電源 ( 器 ) が.5A の電流を出力できるかどうか,

More information

(Microsoft Word - E0-0299Z BID-40D18N \203f\201[\203^\203V\201[\203g_ doc)

(Microsoft Word - E0-0299Z BID-40D18N \203f\201[\203^\203V\201[\203g_ doc) 本製品は ショットキ ダイオードに代わる低損失の OR 接続デバイスです 内蔵の MOS-FET の端子間電圧を検出することで ダイオードの様に順方向電圧に対しては ON 逆方向電圧に対しては OFF となるよう動作します 電圧降下が低いため ダイオードで構成した場合に比べて 大幅に損失を低減することができます 特徴 ショットキ ダイオードに代わる 高信頼性 高性能 低損失 OR 接続デバイス 動作温度

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

AND9041JP - NCL30051を使用した高効率 LEDドライバ回路の設計

AND9041JP - NCL30051を使用した高効率 LEDドライバ回路の設計 APPLICATION NOTE 1/6 28% LED (High Brightness LED HB LED) (/W) LED 1 LED LED DC AC AC AC LED LED LED LED 100 LED LED AC LED AC PFC 3 LED AC (Constant Current, CC) LEDLED (Constant Voltage, CV) LED 1Figure

More information

NJM2387A ON/OFF 機能付き出力可変型低飽和レギュレータ 概要 NJM2387A は出力可変型低飽和レギュレータです 可変出力電圧範囲は 1.5V~20V 出力電流は 1.0Aまで供給可能で 出力電流が 500mA 時に入出力間電位差は 0.2V(typ.) と低飽和を実現しております

NJM2387A ON/OFF 機能付き出力可変型低飽和レギュレータ 概要 NJM2387A は出力可変型低飽和レギュレータです 可変出力電圧範囲は 1.5V~20V 出力電流は 1.0Aまで供給可能で 出力電流が 500mA 時に入出力間電位差は 0.2V(typ.) と低飽和を実現しております ON/OFF 機能付き出力可変型低飽和レギュレータ 概要 は出力可変型低飽和レギュレータです 可変出力電圧範囲は.5V~V 出力電流は.Aまで供給可能で 出力電流が ma 時に入出力間電位差は.V(typ.) と低飽和を実現しております 従来の NJM37 からON/OFF 制御回路を変更し OFF 時無効電流の削減を実現しました また 過電流保護回路 過電圧保護回路を内蔵しておるため 電源モジュール

More information

スライド 1

スライド 1 Codes Technologies 2 1 日本で販売されております蛍光灯の種類は沢山ありますので お客様のご要望のものをご提供いたします LED 蛍光灯をご購入前にご確認下さい 節電タイプの LED 蛍光灯は 中国 台湾または韓国製品です.LED は日亜または CREE ですが製造は 中国 韓国 台湾メーカーです 節電タイプ LED 蛍光灯は通常の蛍光灯より若干青く光ります 右下の画像をご覧下さい左が

More information

F9222L_Datasheet.pdf

F9222L_Datasheet.pdf Introduction Fuji Smart power device M-POWER2 for Multi-oscillated current resonant type power supply Summary System: The ideal and Fuji s original system It includes many functions(soft-switching,stand-by).

More information

Microsoft Word - f203f5da7f8dcb79bcf8f7b2efb0390d406bccf30303b doc

Microsoft Word - f203f5da7f8dcb79bcf8f7b2efb0390d406bccf30303b doc 東芝バイポーラ形リニア集積回路シリコンモノリシック TA,,5,3,33,5F/S TAF, TAF, TA5F, TA3F, TA33F, TA5F, TAS, TAS, TA5S, TA3S, TA33S, TA5S.,,.5, 3, 3.3, 5 A 三端子正出力ロードロップアウトレギュレータ TA**F/S シリーズは 出力段に -PNP トランジスタを使用した出力電流 A ( 最大 ) の固定正出力ロードロップアウトレギュレータです

More information

Microsoft Word - XC9106~07_JTR doc

Microsoft Word - XC9106~07_JTR doc XC9106/XC9107 シリーズ JTR0405-005 Vref 入力 PWM,PWM/PFM 制御昇圧 DC/DC コントローラ IC 概要 XC9106/XC9107 シリーズは 基準電圧 (Vref) 外部印加タイプの汎用高クロック昇圧 DC/DC コントローラです GreenOperation 対応 外付け抵抗 (R1,2) とVref 値により出力電圧が決定されますので 外部から容易に出力電圧をコントロールすることができ

More information

NJM2835 低飽和型レギュレータ 概要 NJM2835 はバイポーラプロセスを使用し 高耐圧 ローノイズ 高リップル除去比を実現した出力電流 500mAの低飽和型レギュレータです TO パッケージに搭載し 小型 2.2 Fセラミックコンデンサ対応 ノイズバイパスコンデンサ内蔵をしてい

NJM2835 低飽和型レギュレータ 概要 NJM2835 はバイポーラプロセスを使用し 高耐圧 ローノイズ 高リップル除去比を実現した出力電流 500mAの低飽和型レギュレータです TO パッケージに搭載し 小型 2.2 Fセラミックコンデンサ対応 ノイズバイパスコンデンサ内蔵をしてい 低飽和型レギュレータ 概要 はバイポーラプロセスを使用し 高耐圧 ローノイズ 高リップル除去比を実現した出力電流 maの低飽和型レギュレータです TO-22- パッケージに搭載し 小型 2.2 Fセラミックコンデンサ対応 ノイズバイパスコンデンサ内蔵をしています また 出力電圧範囲は 2.1V~.V まで幅広くラインアップしており 各種民生機器等さまざまな用途に ご使用いただけます 特長 出力電圧範囲

More information

日置技報-AC/DCカレントセンサ CT6904/CT

日置技報-AC/DCカレントセンサ CT6904/CT 依田元 * 要 旨 は,5 A と 8 A 定格の大電流測定に対応し, MHz (±3 db) の広い測定周波数帯域を実現した高精度電流センサである. スイッチング周波数の高周波化に伴うノイズ環境下での電流測定を考慮し, 新規開発した巻線構造とシールド構造により, 広帯域, かつ, 高い耐ノイズ性を実現できた. ここに製品の概要, 特長, 構成, および特性データについて解説する.. はじめに 自動車,

More information

状態平均化法による矩形波 コンバータの動作特性解析

状態平均化法による矩形波 コンバータの動作特性解析 状態平均化法による矩形波 コンバータの動作特性解析 5 年 8 月 7 日群馬大学客員教授落合政司 内容. 状態方程式. 状態平均化法と状態平均化方程式 - コンバータ等のスイッチを含む回路は 非線形であるためにその動作解析は非常に困難で複雑になる しかし スイッチング周波数が十分に高いと電圧や電流の一周期間の平均値を変数にすることにより 線形的な取り扱いをすることができる このような線形解析を状態平均化法という

More information

3. クランプメータの外観代表的なデジタルクランプメータの外観を示す 本体は開閉式の CT ( トランスコア ) 部 ファンクションスイッチ部 表示部 電圧 抵抗入力端子部から構成されており CT 部を除いては一般のマルチメータとほとんど変わりない この CT 部は先端が開閉できるような構造になって

3. クランプメータの外観代表的なデジタルクランプメータの外観を示す 本体は開閉式の CT ( トランスコア ) 部 ファンクションスイッチ部 表示部 電圧 抵抗入力端子部から構成されており CT 部を除いては一般のマルチメータとほとんど変わりない この CT 部は先端が開閉できるような構造になって 技術コーナー クランプメータによる電流計測について 共立電気計器株式会社国内営業部第一営業グループ東京オフィス主任日下亮一 1. はじめにクランプメータは 現場での電流測定にはなくてはならない非常に重要な測定器である 今回はそのクランプメータについて 測定原理 特長及び応用方法を解説することにより 目的に応じたクランプメータの選択方法 また最近の製品動向について 理解を深めていただければと考える 2.

More information

Microsoft Word - NJM2718_DataJ_v1.doc

Microsoft Word - NJM2718_DataJ_v1.doc 2 回路入り高耐圧単電源オペアンプ 概要 NJM2718 は 2 回路入り単電源高速オペアンプです 動作電圧は 3V~36V と広範囲でスルーレート 9V/µs の高速性と入力オフセット電圧 4mV の特徴をもっており ローサイド電流検出に適しております また 容量性負荷に対して安定しておりますので FET 駆動等のプリドライバ用途やバッファ用途等に適しております 外形 NJM2718E NJM2718V

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

3.3 モータ運転の留意点 ギヤモータをインバータで運転する場合 ギヤモータをインバータで運転する場合 以下のような注意事項があります 出力軸トルク特性に対する注意事項ギヤモータの出力軸トルク 9544 モータ出力 (kw) SI 単位系 T G = (N m) 出力軸回転数 (r/min) < ギ

3.3 モータ運転の留意点 ギヤモータをインバータで運転する場合 ギヤモータをインバータで運転する場合 以下のような注意事項があります 出力軸トルク特性に対する注意事項ギヤモータの出力軸トルク 9544 モータ出力 (kw) SI 単位系 T G = (N m) 出力軸回転数 (r/min) < ギ 3.3 モータ運転の留意点 ギヤモータをインバータで運転する場合 ギヤモータをインバータで運転する場合 以下のような注意事項があります 出力軸トルク特性に対する注意事項ギヤモータの出力軸トルク 9544 モータ出力 (kw) SI 単位系 T G = (N m) 出力軸回転数 (r/min) < ギヤで回転数を変えた場合 > トルク モータ出力軸トルク 9544 モータ出力 (kw) SI 単位系

More information

LM5021 AC-DC Current Mode PWM Controller (jp)

LM5021 AC-DC Current Mode PWM Controller (jp) LM5021 LM5021 AC-DC Current Mode PWM Controller Literature Number: JAJSAC6 LM5021 AC-DC PWM LM5021 (PWM) LM5021 (25 A) 1 ( ENERGY STAR CECP ) Hiccup (Hiccup ) 8 LM5021 100ns 1MHz AC-DC PWM 5021 LM Steve

More information