UG632 PlanAhead ユーザー ガイド



Similar documents
エレクトーンのお客様向けiPhone/iPad接続マニュアル

インターネット接続ガイド v110

ScanFront300/300P セットアップガイド

iPhone/iPad接続マニュアル

ScanFront 220/220P 取扱説明書

ScanFront 220/220P セットアップガイド

2


TH-47LFX60 / TH-47LFX6N

WYE771W取扱説明書

NetVehicle GX5取扱説明書 基本編

DDK-7 取扱説明書 v1.10


TH-80LF50J TH-70LF50J


DS-860

MusicSoft Manager

License

Operating Instructions

準備と設定

準備と設定

準備と設定

ProcessDiagramQRG.book

Readme

DS-510

BRA1209A_Ja_001_009.p65

Microsoft Word - LaCie Manual_JA080719doc.doc


基本操作ガイド

操作ガイド(本体操作編)

ソフトウェア説明書 Interstage Shunsaku Data Manager Enterprise Edition V9.0.0 評価版

操作ガイド(本体操作編)

LWN-A54APS 設定ガイド

目次 1. 珠肌 Photoshop プラグインについて はじめに 必要システム構成 インストールとアクティベーション 珠肌 for Photoshop をインストールする アクティベーションする...

基本操作ガイド

外部SQLソース入門

Microsݯft Word - 91 forܠ2009November.docx

珠肌 for Video ユーザーマニュアル

雇用保険被保険者資格取得届(様式)編

ScanFront 300P/330 取扱説明書

Chapter

ATOK Syncの設定方法と使い方(Mac用)

DS-30

Appendix

2

DS-70000/DS-60000/DS-50000

目 次 第 1 章 は じ め に... 3 第 2 章 基 本 的 な キ ー 操 作... 4 第 3 章 メ ニ ュ ー 画 面... 6 第 4 章 入 荷 業 務... 7 第 5 章 出 荷 業 務... 9 第 6 章 商 品 照 会...11 第 7 章 棚 卸 業 務...12 第

Si-R130取扱説明書

変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/24 ご利用上の注意点 注意点を追加 2015/9/ の文言修正と画像差し替え デフォルト設定変更に伴う文言修正と画像差し替え 2

ES-D400/ES-D200

第1回

PlanAhead ソフトウェア チュートリアル : RTL デザインと CORE Generator を使用した IP の生成

Post.Office 4.1 Additional Manual

TH-65/60PF30

操作ガイド(本体操作編)

2

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747)

の と す る (1) 防 犯 カ メ ラ を 購 入 し 設 置 ( 新 設 又 は 増 設 に 限 る ) す る こ と (2) 設 置 す る 防 犯 カ メ ラ は 新 設 又 は 既 設 の 録 画 機 と 接 続 す る こ と た だ し 録 画 機 能 付 防 犯 カ メ ラ は

EPSON ES-D200 パソコンでのスキャンガイド

readme

ソ フ ト ウ ェ ア ト ー ク ン の ダ ウ ン ロ ー ド 方 法 以 下 の サ イ ト か ら ダ ウ ン ロ ー ド 方 法 の 確 認 を 行 っ て く だ さ い な お ソ フ ト ウ ェ ア ト ー ク ン に つ い て の 詳 細 や ご 利 用 方 法 よ く あ る

PX-504A

ES-D400/ES-D350

Readme

EP-704A

PX-434A/PX-404A

GT-F740/GT-S640

1 フ ラ ッ シ ュ カ ー ド ( サ ン プ ル ) の ス ラ イ ド を パ ワ ー ポ イ ン ト で 作 っ て み ま し ょ う 以 下 の ス ラ イ ド ( 2 枚 目 ~ 4 枚 目 を 作 り ま す あ ら か じ め 作 業 用 の フ ァ イ ル を デ ス ク ト


sato-FBSDW key

は じ め に 懸 賞 フ ァ ン の 皆 さ ん 毎 日 応 募 し て い ま す か? 成 果 は い か が で す か? イ ン タ ー ネ ッ ト で は 毎 日 非 常 に た く さ ん の ホ ー ム ペ ー ジ で 膨 大 な 数 の 懸 賞 プ レ ゼ ン ト が 実 施 さ

PX-403A


IM 21B04C50-01

1. 電 子 メール カレンダー 連 絡 先 の 統 合 Outlook は 受 信 箱 の 操 作 方 法 が 一 貫 して いる 点 が 何 も 考 えなくても 自 然 に 操 作 できる と お 客 様 に 好 評 です Gmail では 操 作 性 に 影 響 する 機 能 更 新 が 頻

EPSON PX-503A ユーザーズガイド

Microsoft Word - 操作マニュアル(石油コンビナート_オフラインソフト編)_v0.2.doc

GT-X980

<4D F736F F D20694F538F5A91EE A20838A C >

1.indd

EPSON EP-803A/EP-803AW ユーザーズガイド

untitled

GT-X830

EPSON EP-703A ユーザーズガイド

VQT3B86-4 DMP-HV200 DMP-HV150 μ μ l μ

イ ン チ ー ザ ヴ ィ チ ェ ン ツ ァ ヴ ィ ッ ロ ル バ ( ト レ ビ ゾ 近 郊 ) ヴ ィ ン チ ヴ ェ ル バ ニ ア ヴ ェ ロ ー ナ エ リ チ ェ カ タ ー ニ ャ ( 3 月 ~ 1 0 月 ) ( 1 1 月 ~ 2 月 ) 5 0 ユ ー ロ 以 上 介 護

メールソフトの 設 定 アルフ ァ メ ールプラチナのメ ールア ド レスは 普 段 ご 利 用 の メ ールソ フ ト で も メ ールを 送 受 信 する こ とができま 基 本 設 定 項 目 メールアカウント メールパスワード 受 信 メールサーバ アカウント 名 % ド メイン 名 アルフ


untitled

変更履歴 変更日 変更箇所 変更内容 2013/9/30 新規作成 2013/11/11 ozziocloud(android 用 ) をアンイン 新規追加 ストールする 2013/11/11 ご利用上の注意点 注意点を追記 2014/03/11 付録設定情報インポート リスト 新規追加 ア項目 (

ショートカット 一 覧 のショートカット/ 文 字 入 力 で 使 用 ショートカット ショートカット 一 覧 本 書 の で 説 明 している 様 々な 操 作 を 行 うためのショートカットを 案 内 します ショートカットを 使 用 と 簡 単 な 操 作 で 手 順 を 実 行 ことができま

ContentDistributor for FlashAir

(Microsoft Word - \203A \225\345\217W\227v\227\314 .doc)

PX-B750F

PFS-Readme

PX-673F

readme

untitled

RN.book

目 次 1. 大 学 情 報 データベースシステムの 使 用 方 法 について EXCEL 一 括 登 録 EXCEL ダウンロード 検 索 条 件 の 指 定 プレビュー EXCEL ダウンロード(データ 抽 出 あ

Transcription:

PlanAhead ユーザー ガイド 2010 年 7 月 23 日

Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information. THE DOCUMENTATION IS DISCLOSED TO YOU AS-IS WITH NO WARRANTY OF ANY KIND. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION. 2010 Xilinx, Inc. XILINX, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. Included in the PlanAhead software code is source code for the following programs: Centerpoint XML The initial developer of the Original Code is CenterPoint - Connective Software Engineering GmbH. Portions created by CenterPoint - Connective Software Engineering GmbH. Copyright Copyright IBM Corp. 1998 1998-2000 CenterPoint - Connective Software Engineering GmbH. All Rights Reserved. Source Code for CenterPoint is available at http://www.cpointc.com/xml/ NLView Schematic Engine Copyright Copyright IBM Corp. 1998 Concept Engineering. Static Timing Engine by Parallax Software Inc. Copyright Copyright IBM Corp. 1998 Parallax Software Inc. Java Standard Edition Copyright Copyright IBM Corp. 1998 1995-2006 Sun Microsystems Includes portions of software from RSA Security, Inc. and some portions licensed from IBM are available at http://oss.software.ibm.com/icu4j/. Powered By JIDE - http://www.jidesoft.com The BSD License for the JGoodies Looks Copyright Copyright IBM Corp. 1998 2001-2008 JGoodies Karsten Lentzsch. All rights reserved. Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: - Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. PlanAhead ユーザー ガイド japan.xilinx.com

- Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. - Neither the name of JGoodies Karsten Lentzsch nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS AS IS AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. japan.xilinx.com PlanAhead ユーザー ガイ ド

Libconfig (v1.3.2) License libconfig - A library for processing structured configuration files Copyright (C) 2005-2009 Mark A Lindner This file is part of libconfig. This library is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version. This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details. You should have received a copy of the GNU Library General Public License along with this library; if not, see http://www.gnu.org/licenses/. Free IP Core License This is the Entire License for all of our Free IP Cores. Copyright (C) 2000-2003, ASICs World Services, LTD., AUTHORS All rights reserved. Redistribution and use in source, netlist, binary and silicon forms, with or without modification, are permitted provided that the following conditions are met: -Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. -Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. -Neither the name of ASICS World Services, the Authors and/or the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS AS IS AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. Demo RTL Design License 2010 Xilinx, Inc. This RTL Design is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version. This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details. You should have received a copy of the GNU Library General Public License along with this design file; if not, see http://www.gnu.org/licenses/. 本 資 料 は 英 語 版 (v 12.2) を 翻 訳 し た も ので 内 容 に 相 違 が 生 じ る 場 合 には 原 文 を 優 先 し ます 資 料 に よ っ ては 英 語 版 の 更 新 に 対 応 し ていない も のがあ り ます 日 本 語 版 は 参 考 用 と し てご 使 用 の 上 最 新 情 報 につき ま し ては 必 ず 最 新 英 語 版 を ご 参 照 く だ さ い PlanAhead ユーザー ガイド japan.xilinx.com

マニ ュ アルの 内 容 このマニュアルについて このユーザー ガイドには PlanAhead ソ フ ト ウ ェ アの イ ン ターフ ェ イ ス の 概 要 デザ イ ン 機 能 お よびソ フ ト ウ ェ ア 機 能 の 使 用 方 法 などの 詳 細 な 情 報 が 含 まれています 本 章 は 次 のセ ク シ ョ ンで 構 成 さ れています マニ ュ アルの 内 容 その 他 の リ ソース 表 記 規 則 メモ : ソ フ ト ウ ェ アの イ ン ス ト ール 方 法 およびシ ス テム 要 件 は ISE Design Suite : イ ン ス ト ール ライセンス リース ノート を 参 照 してください マニ ュ アルの 内 容 このユーザー ガ イ ド は 次 の 章 か ら 構 成 さ れています 第 1 章 概 要 : PlanAhead の 機 能 の 概 要 を 説 明 し ます 第 2 章 PlanAhead デザイ ン フ ローの 理 解 : デザイ ン フローの 概 要 を 説 明 し ます 第 3 章 プ ロ ジ ェ ク ト の 操 作 : PlanAhead でのプ ロ ジ ェ ク ト の 初 期 設 定 と 管 理 について 説 明 し ます 第 4 章 表 示 環 境 の 使 用 : PlanAhead のユーザー インターフェイスについて 説 明 します 第 5 章 RTL デザイ ン : RTL 環 境 について 説 明 し ます 第 6 章 デザ イ ンの 合 成 : 合 成 機 能 について 説 明 し ます 第 7 章 ネ ッ ト リ ス ト の 解 析 および 制 約 の 定 義 : PlanAhead のデザ イ ン 解 析 と 制 約 定 義 につい て 説 明 し ます 第 8 章 I/O ピ ン 配 置 : ピ ン を 割 り 当 て る ピ ン 配 置 環 境 について 説 明 し ます 第 9 章 デザインのインプ リ メ ンテーシ ョ ン : イ ンプ リ メ ンテーシ ョ ン 機 能 について 説 明 し ま す 第 10 章 イ ンプ リ メ ンテーシ ョ ン 結 果 の 解 析 : PlanAhead でのタ イ ミ ングおよび 配 置 解 析 機 能 を 説 明 し ます 第 11 章 デザインのフロアプラン : PlanAhead での さ ま ざ ま なフ ロ アプ ラ ン 機 能 およびス ト ラ テジを 説 明 し ます 第 12 章 デザインのプログラムとデバッグ : ビットスト リーム フ ァ イ ルの 生 成 プ ロ グ ラ ム ツールの 起 動 PlanAhead に 統 合 されている ChipScope デバ ッ グ ソフトウェアのデバッグ 機 能 について 説 明 し ます 第 13 章 階 層 デザ イ ン 手 法 の 使 用 : 階 層 デザイ ン 機 能 の 使 用 方 法 を 説 明 します PlanAhead ユーザー ガイド japan.xilinx.com 5 UG632 (v12.2) 2010 年 7 月 23 日

このマニュアルについて 第 14 章 Tcl およびバッチ スク リプト : Tcl コ マン ド およびス ク リ プ ト 機 能 の 使 用 方 法 を 説 明 します 第 15 章 Project Navigator からの PlanAhead の 使 用 : Project Navigator に 統 合 さ れている PlanAhead フ ローを 説 明 し ます このユーザー ガ イ ド には 次 の 付 録 が 含 まれています 付 録 A PlanAhead の 入 力 フ ァ イ ルおよび 出 力 フ ァ イ ル : PlanAhead の 入 力 フ ァ イ ル と 出 力 フ ァ イ ルについて 説 明 し ます 付 録 B PlanAhead の 用 語 : PlanAhead ソ フ ト ウ ェ アで 使 用 さ れる 用 語 を 説 明 し ます 付 録 C XilinxNotify を 使 用 した リ リ ースのインス ト ール : PlanAhead のリ リース ス ト ラ テ ジおよびソ フ ト ウ ェ アのア ッ プデー ト 方 法 について 説 明 し ます 付 録 D パス ワー ド 入 力 な し の SSH の 設 定 : PlanAhead プロセスを 複 数 のホス トで 実 行 す る ために 必 要 な パス ワード が 不 要 な SSH の 設 定 方 法 を 説 明 し ます その 他 の リ ソース 次 は PlanAhead に 関 する 文 書 です ISE Design Suite : インストール ライセンス リ リース ノート (UG631) : イ ン ス ト ール 手 順 およびシ ス テム 要 件 を 説 明 し ます ザイ リンクス Web サイ トから 入 手 できます PlanAhead ソ フ ト ウ ェ アの 新 機 能 (UG656) : こ の リ リ ース での 新 機 能 を 説 明 し ます ザ イ リ ンクス Web サイ トから 入 手 できます フロアプラン 手 法 ガイ ド (UG633) : パフ ォーマン ス 向 上 結 果 の 再 利 用 性 設 計 時 間 の 短 縮 のためのさ まざまなフロアプラン ス ト ラ テジを 説 明 し ます ザイ リンクス Web サイ トから 入 手 でき ます 階 層 デザ イ ン 手 法 ガ イ ド (UG748) : ザ イ リ ン ク スの 階 層 パーテ ィ シ ョ ン 機 能 について 説 明 します ザイ リンクス Web サイ トから 入 手 できます このユーザー ガ イ ド では 次 の 資 料 が 参 照 されています 合 成 /シミュレーション デザイ ン ガイド (UG626) 制 約 ガ イ ド (UG612) Spartan-6 PCB Design Guide (UG393) パーシ ャル リコンフィギュレーション ユーザー ガイド (UG702) : パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンに 関 する 資 料 は 次 のザ イ リ ン ク ス Web サイ トから 入 手 できます http://japan.xilinx.com/tools/partial-reconfiguration 詳 細 は ザ イ リ ン ク スの Web サイ ト (http://japan.xilinx.com/planahead) を 参 照 して く ださい 追 加 資 料 は 次 のザ イ リ ン ク ス Web サ イ ト を 参 照 し て く だ さ い http://japan.xilinx.com/support/documentation/index.htm シリコン ソフトウェア IP に 関 する 問 題 を アンサー データベース で 検 索 し た り テ ク ニカル サ ポー ト のウ ェブ ケースを 開 く には 次 のザイ リ ン ク ス Web サイ トにアクセスしてください http://japan.xilinx.com/support/mysupport.htm 6 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

その 他 のリ ソース ザイリンクスのト レーニング Essential Design with the PlanAhead Analysis & Design Tool : PlanAhead の 機 能 の 基 礎 を 学 ぶ コースです Advanced Design with the PlanAhead Analysis & Design Tool : PlanAhead のア ド バン ス 機 能 を 学 ぶコースです チュート リアル 次 の PlanAhead チ ュー ト リ アルが 用 意 さ れています ソ フ ト ウ ェ アおよび 次 のザ イ リ ン ク ス の Web サイ ト よ り 入 手 できます http://japan.xilinx.com/tools/planahead.htm クイッ ク フ ロー 概 要 (UG673) I/O ピ ン 配 置 (UG674) RTL デザイ ン と CORE Generator を 使 用 した IP の 生 成 (UG675) デザ イ ン 解 析 およびフ ロ アプ ラ ン (UG676) ChipScope を 使 用 したデバッグ (UG677) 予 測 可 能 な 結 果 に 対 する 保 存 デザ イ ンの 利 用 (UG747) パーシ ャル リコンフィギュレーション フ ローの 概 要 (UG743) プロ セ ッ サ ペリフェラルのパーシャル リコンフィギュレーション (UG744) Tcl および SDC コ マン ド の 使 用 (UG760) ビデオ デモ PlanAhead のビデオ デモで PlanAhead ソ フ ト ウ ェ アの 特 定 の 使 用 方 法 について 学 ぶこ と が できます 次 のザイ リ ン ク ス Web サイ トから 入 手 できます http://japan.xilinx.com/design_resources PlanAhead ユーザー ガイド japan.xilinx.com 7 UG632 (v12.2) 2010 年 7 月 23 日

このマニュアルについて 表 記 規 則 こ のマニ ュ アルでは 次 の 表 記 規 則 を 使 用 し ています 各 規 則 について 例 を 挙 げて 説 明 し ます 書 体 次 の 規 則 は すべてのマニ ュ アルで 使 用 さ れています 表 記 規 則 使 用 箇 所 例 Courier フォント Courier フォント ( 太 字 ) イタリック フォント 影 付 き 二 重 / 一 重 かぎかっ こ 角 かっ こ [ ] 中 かっ こ { } 山 かっ こ < > 縦 棒 縦 の 省 略 記 号... 横 の 省 略 記 号... 接 頭 辞 / 接 尾 辞 シ ス テムが 表 示 する メ ッ セージ プロンプト プログラム ファイル を 表 示 します 構 文 内 で 入 力 する コ マン ド を 示 します ユーザーが 値 を 入 力 する 必 要 の あ る 構 文 内 の 変 数 に 使 用 し ます 項 目 がサポー ト さ れていないか 予 約 さ れてい る こ と を 示 し ます はマニュアル 名 を はセク ション 名 を 示 します オプシ ョ ンの 入 力 またはパラ メータを 示 しますが bus[7:0] の よ う なバス 仕 様 では 必 ず 使 用 し ます ま た GUI 表 記 に も 使 用 します 1 つ 以 上 の 項 目 を 選 択 する ための リストを 示 します ユーザーが 値 を 入 力 する 必 要 の あ る 構 文 内 の 変 数 に 使 用 し ます 選 択 する リ ス ト の 項 目 を 分 離 し ます 繰 り 返 し 項 目 が 省 略 されている ことを 示 します 繰 り 返 し 項 目 が 省 略 されている ことを 示 します 接 頭 辞 0x ま たは 接 尾 辞 h は 16 進 数 を 示 し ます _n は 信 号 がア ク テ ィ ブ Low であ ることを 示 します speed grade: - 100 ngdbuild design_name ngdbuild design_name この 機 能 はサポート されていま せん 詳 細 については 開 発 シ ス テム リファレンス ガイド の PAR を 参 照 し て く だ さ い ngdbuild [option_name] design_name [File] [Open] をク リ ックし ます lowpwr ={on off} <directory name> lowpwr ={on off} IOB #1: Name = QOUT IOB #2: Name = CLKIN... allow block block_name loc1 loc2... locn; アドレス 0x00112975 を 読 み 出 す と 45524943h が 返 されます usr_teof_n はアクテ ィ ブ Low です 8 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

表 記 規 則 オンライン マニュアル こ のマニ ュ アルでは 次 の 規 則 が 使 用 さ れています 表 記 規 則 使 用 箇 所 例 青 色 の 文 字 青 色 の 下 線 付 き 文 字 マニ ュ アル 内 の 相 互 参 照 を 示 し ます Web サイ ト (URL) へのハ イ パー リンクです 詳 細 は その 他 の リ ソース を 参 照 してください 詳 細 は 第 1 章 タイ トル フォー マッ ト を 参 照 して く ださい 最 新 のス ピー ド ファイルは http://japan.xilinx.com か ら 入 手 できます PlanAhead ユーザー ガイド japan.xilinx.com 9 UG632 (v12.2) 2010 年 7 月 23 日

このマニュアルについて 10 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

目 次 このマニュアルについて マニュアルの 内 容................................................................ 5 その 他 の リ ソース................................................................ 6 ザイ リ ンクスのト レーニング....................................................7 チュート リアル..............................................................7 ビデオ デモ..................................................................7 表 記 規 則........................................................................ 8 書 体........................................................................8 オンライン マニュアル.........................................................9 第 1 章 : 概 要 PlanAhead ソフトウェアについて.................................................. 25 PlanAhead の 使 用............................................................... 26 プロジェク トの 作 成 と 管 理....................................................26 RTL および IP デザイ ン......................................................26 合 成 と イ ンプ リ メ ンテーシ ョ ン.................................................26 デザ イ ン 解 析 および 制 約 の 定 義.................................................27 ピン 配 置...................................................................27 フロアプラン...............................................................27 デザイ ンのプロ グ ラ ム と デバ ッ グおよび ChipScope の 統 合..........................27 階 層 デザ イ ン デザ イ ン 保 持 パーシ ャル リコンフィギュレーション.................27 Tcl コマンドおよびバッチ スク リプト...........................................27 ISE Project Navigator 環 境 での PlanAhead の 使 用..................................28 入 力 および 出 力 フ ァ イ ル......................................................28 PlanAhead の 用 語...........................................................28 ソ フ ト ウ ェ アのア ッ プデー ト...................................................28 複 数 の Linux ホス トの 設 定....................................................28 PlanAhead の 起 動............................................................... 29 Linux.....................................................................29 Windows...................................................................29 Getting Started ページの 使 用...................................................30 PlanAhead コマンド ライン オプシ ョ ン..........................................30 PlanAhead スタートアップ Tcl スクリプト.......................................31 第 2 章 : PlanAhead デザイ ン フ ローの 理 解 PlanAhead デザイ ン フロー....................................................... 33 RTL からビッ ト ス ト リーム....................................................33 合 成 ネットリストからビットストリーム.........................................33 デバイ スの 解 析 と I/O ピン 配 置.................................................34 イ ンプ リ メ ン ト 済 みデザ イ ン 結 果 の 解 析.........................................34 パーシ ャル リコンフィギュレーション...........................................34 デザイ ン フロー................................................................. 34 デザイ ン フロー.............................................................34 デザイ ン フロー タスク.......................................................35 プロジェク トの 作 成 と 管 理................................................35 IP のカスタマイズと インプ リ メ ンテーシ ョ ン.................................36 RTL 開 発 および 解 析.....................................................36 ロジック 合 成...........................................................36 I/O ピン 配 置...........................................................37 ネ ッ ト リ ス ト の 解 析 および 制 約 の 定 義.......................................37 インプリ メンテーション..................................................37 PlanAhead ユーザー ガイド japan.xilinx.com 11 UG632 (v12.2) 2010 年 7 月 23 日

結 果 の 解 析 およびフ ロ アプ ラ ン............................................37 デバイ スのプロ グ ラ ム....................................................38 デザイ ンの 検 証 とデバッ グ................................................38 ユーザー モデル................................................................. 38 基 本 的 なユーザー フロー......................................................38 アドバンス 機 能.............................................................38 Flow Navigator の 理 解........................................................... 39 RTL プロジェク トでの Flow Navigator の 使 用....................................39 合 成 済 みネ ッ ト リ ス ト プロジェク トでの Flow Navigator の 使 用......................39 Flow Navigator からのコマンドの 実 行...........................................40 Project Manager.........................................................40 コマンド オプシ ョ ンの 設 定................................................41 合 成 の 実 行.............................................................41 インプリ メンテーションの 実 行............................................41 ビッ トスト リーム ファイルの 生 成..........................................42 プログラムおよびデバッグ ツールの 起 動.....................................42 デザイ ンの 操 作................................................................. 43 RTL デザイ ンを 開 く.........................................................43 ネッ ト リスト デザイ ンの 使 用..................................................44 ネッ ト リス ト デザイ ンを 開 く..............................................44 アクティブ ネッ ト リ ストの 設 定............................................45 イ ンプ リ メ ン ト 済 みデザ イ ン を 開 く.............................................46 開 いているデザイ ンの 管 理....................................................47 デザイ ンを 閉 じ る............................................................48 デザイ ン ビュー バナー.......................................................48 I/O Planner と Design Planner ビュー レイアウト..............................48 デザ イ ンの 再 読 み 込 みが 必 要 であ る こ と を 示 すバナー..........................48 複 数 のデザ イ ンの 切 り 替 え................................................49 第 3 章 : プロジェク トの 操 作 PlanAhead のプロジェ ク ト タイプ.................................................. 51 RTL ソース ベース のプ ロ ジ ェ ク ト..............................................51 合 成 済 みネ ッ ト リ ス ト ベースのプ ロ ジ ェ ク ト.....................................52 イ ンプ リ メ ン ト 済 みデザ イ ン 結 果 ベースのプ ロ ジ ェ ク ト.............................52 I/O ピン 配 置 プロジェク ト.....................................................52 Project Navigator で 作 成 されたプロ ジェ ク ト......................................52 新 規 プ ロ ジ ェ ク ト の 作 成.......................................................... 53 New Project ウ ィザードの 使 用.................................................53 プロジェク ト 名 およびプロジェク ト ディレク ト リの 入 力........................53 デザイ ン ソース データ タイプの 選 択.......................................54 RTL ソース を 使 用 し たプ ロ ジ ェ ク ト の 作 成...................................54 XST または Synplify プロジェク トからのインポート 設 定.......................55 合 成 済 みネ ッ ト リ ス ト を 使 用 し たプ ロ ジ ェ ク ト の 作 成..........................57 RTL およびネッ ト リ ス ト プ ロ ジ ェ ク ト への 制 約 フ ァ イ ルの 追 加..................58 デフォル ト デバイ スの 選 択................................................59 I/O ピ ン 配 置 プ ロ ジ ェ ク ト の 作 成...........................................61 デフォル ト デバイ スの 選 択................................................61 ISE の 配 置 およびタ イ ミ ング 結 果 を 使 用 し たプ ロ ジ ェ ク ト の 作 成.................62 配 置 およびタ イ ミ ン グ 結 果 の イ ンポー ト.....................................63 既 存 のプ ロ ジ ェ ク ト を 開 く........................................................ 65 複 数 のプ ロ ジ ェ ク ト を 開 く........................................................ 65 プロジェク トの 保 存............................................................. 66 プロジェク ト を 閉 じる............................................................ 66 プロジェク ト ソースの 管 理....................................................... 66 Project Manager.............................................................66 [Sources] ビュー.............................................................66 ソース ファイルの 作 成........................................................66 12 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

ソース ファイルの 追 加 または 作 成..............................................68 リモート ソースの 参 照 ま たはプ ロ ジ ェ ク ト ディレク ト リへのソースのコピー...........69 ソース ファイル プロパティの 表 示..............................................69 ソース フ ァ イルのア ッ プデー ト................................................70 ソース ファイルのイネーブル/ディスエーブル.....................................71 既 存 の IP のプロジェ ク ト への 追 加..............................................71 CORE Generator を 使 用 した IP のコンフィギュレーシ ョ ン..........................71 IP カタログの 使 用.......................................................71 IP カ タ ロ グのア ッ プデー ト................................................73 IP カタログの 設 定.......................................................73 IP のカスタマイズ.......................................................73 IP の 表 示..............................................................75 IP のインスタンシエーショ ン..............................................75 IP の 生 成..............................................................76 IP の 変 更..............................................................76 PlanAhead の XSP および EDK との 使 用............................................ 76 制 約 の 追 加 と 管 理............................................................... 77 制 約 の 追 加 ま たは 作 成........................................................77 新 規 プ ロ ジ ェ ク ト 作 成 時 の 制 約 の 追 加.......................................77 [Add/Create Constraints] コマンドの 使 用.....................................77 ターゲッ ト UCF の 設 定..................................................78 元 の UCF ファイルの 参 照 またはファイルのコピー.............................78 制 約 セ ッ ト の 使 用............................................................78 ターゲッ ト UCF の 変 更..................................................78 制 約 セ ッ ト の 作 成.......................................................78 [Save Design As] コマンドの 使 用...........................................79 新 規 制 約 セ ッ ト の 作 成....................................................79 アクティブ 制 約 セッ トの 定 義...................................................79 モジュール レベルの 制 約 フ ァ イルの 使 用.........................................80 制 約 のエ ク ス ポー ト..........................................................80 プロジェク ト 設 定............................................................... 81 [Project Settings] ダイアログ ボックスの [General] ページ...........................82 [Project Settings] ダイアログ ボックスの [Synthesis] ページ..........................83 [Project Settings] ダイアログ ボックスの [Implementation] ページ.....................84 IP カタログの 設 定...........................................................85 合 成 およびイ ンプ リ メ ンテーシ ョ ン ス トラテジの 作 成.................................. 85 共 通 グループ ス トラテジの 作 成................................................88 [Project Settings] セクション...................................................88 [Project State] セクション.....................................................88 [Compilation Settings] セクション..............................................89 [Resources] セクション.......................................................89 [Implemented Timing] セクション..............................................90 第 4 章 : 表 示 環 境 の 使 用 表 示 環 境 の 理 解................................................................. 91 表 示 環 境 の 概 要.............................................................92 主 な 表 示 環 境 コ ンポーネン ト...................................................93 メイン 表 示 エリア............................................................... 93 メ イ ン 表 示 エ リ アの 最 大 化....................................................94 Flow Navigator の 非 表 示......................................................94 メッセージ エ リ アの 非 表 示....................................................94 メッセージ エ リ アの 再 表 示....................................................94 I/O Planner と Design Planner の 切 り 替 え........................................94 I/O Planner の 使 用.......................................................95 Design Planner の 使 用....................................................96 メッセージ エリア............................................................... 97 [Elaboration Messages] ビュー.................................................97 PlanAhead ユーザー ガイド japan.xilinx.com 13 UG632 (v12.2) 2010 年 7 月 23 日

[Compilation Log] ビュー.....................................................98 [Compilation Messages] ビュー.................................................98 [Tcl Console] ビュー.........................................................99 警 告 およびエ ラーを 示 すカ ラー バー........................................99 Tcl コマンド ラインの 使 用...............................................100 Tcl ヘルプの 使 用.......................................................100 [Design Runs] ビューの 使 用..................................................100 ビューの 操 作.................................................................. 101 ビューを 開 く..............................................................101 ビューのナビゲート.........................................................101 ビュー バナーを 使 用 し たビ ューの 操 作..........................................102 ビューのフロート...........................................................102 ワーク スペースのビ ュー.....................................................102 ワー ク スペースのビ ューの 理 解...........................................103 ワークスペースのビューを 開 く...........................................103 ワー ク スペースの 最 大 化.................................................103 ワー ク スペースのビ ューのフ ロー ト 表 示....................................103 ワー ク スペースのビ ューの 印 刷...........................................103 ワー ク スペースのビ ューを 閉 じ る..........................................104 ワー ク スペースの 分 割...................................................105 [World] ビュー.........................................................106 ツ リ ー 表 形 式 のビ ュー.......................................................107 表 の 展 開 / 非 展 開........................................................107 エン ト リのグループ 化 またはフラ ッ ト リスト 表 示............................107 リスト ビ ューでの 検 索 機 能 の 使 用.........................................108 列 の 並 べ 替 え..........................................................108 列 の 整 列..............................................................108 ビ ュー 特 定 のツールバー コマンド.............................................109 情 報 バナー................................................................109 文 脈 依 存 カーソ ルについて...................................................110 オブジェ ク ト の 選 択............................................................ 110 [Select] メニュー コマンド...................................................110 複 数 のオブジ ェ ク ト の 選 択...................................................110 [Select Area] コマンド.......................................................110 プリ ミティブの 親 モジュールの 選 択............................................111 [Selection] ビュー..........................................................111 選 択 し たオブジ ェ ク ト すべての 表 示............................................112 オブジ ェ ク ト の 選 択 規 則.....................................................113 PlanAhead の 表 示 設 定.......................................................113 選 択 し たオブジ ェ ク ト のハイ ラ イ ト............................................113 選 択 し たオブジ ェ ク ト のマーク................................................114 PlanAhead ビューの 使 用......................................................... 114 [Sources] ビュー............................................................114 [Sources] ビューを 開 く..................................................114 [Sources] ビューのコマンド..............................................115 テキス ト エデ ィ タでの ソース フ ァ イ ルの 表 示 および 編 集...........................116 [Device] ビュー............................................................116 [Device] ビュー コマンドの 使 用...........................................118 デバイ ス リソースの 表 示................................................119 ク ロ ッ ク 領 域 の 表 示.....................................................120 [Device] ビューの 印 刷...................................................121 複 数 の [Device] ビューの 表 示.............................................121 [Package] ビュー...........................................................122 複 数 の [Package] ビューの 表 示............................................122 [Package] ビューの 印 刷..................................................123 [Schematic] ビュー..........................................................123 [Schematic] ビューでのロジックの 選 択.....................................123 [Schematic] ビ ューでのロ ジ ッ ク 階 層 の 表 示.................................124 14 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

選 択 し たピ ンか ら の ロ ジ ッ ク の 展 開 表 示....................................125 選 択 し た イ ン ス タ ン ス ま たはモジ ュールの ロ ジ ッ ク の 展 開 ま たは 非 展 開...........126 [Schematic] ビューのボタン..............................................126 回 路 図 での 階 層 移 動.....................................................127 [Schematic] ビューの 更 新................................................127 [Schematic] ビューでのオブジェク トの 選 択.................................127 [Schematic] ビューからのオブジェク トの 削 除...............................128 [Schematic] ビューの 印 刷................................................128 [Schematic] ビューのポップアップ メニュー コマンド.........................128 回 路 図 デザ イ ン 情 報 の 表 示...............................................128 [Schematic] ビューでのタイ ミング パス ロジックの 表 示.......................131 [Properties] ビュー..........................................................132 [Properties] ビューを 開 く................................................132 [Properties] ビューのコマンド............................................132 [Netlist] ビュー.............................................................133 ネッ ト リス ト ツ リ ーの 非 展 開.............................................134 [Primitives] フォルダの 使 用..............................................135 [Nets] フォルダの 使 用...................................................135 [Netlist] ビューのアイコン...............................................136 [Netlist] ビューでのロジックの 選 択........................................137 [Netlist] ビューのコマンド...............................................137 [Hierarchy] ビュー..........................................................137 [I/O Ports] ビュー...........................................................139 I/O ポー ト の 作 成.......................................................139 [I/O Ports] ビューのコマンド.............................................139 [Package Pins] ビュー.......................................................140 [Package Pins] ビューを 開 く..............................................140 [Package Pins] ビューのコマンド..........................................141 [Design Runs] ビュー........................................................141 [Design Runs] ビューを 開 く..............................................141 [Design Runs] ビューのポップアップ メニュー コマンド.......................142 表 示 環 境 の 設 定................................................................ 143 PlanAhead の 表 示 オプシ ョ ンのカス タマイ ズ.....................................143 全 般 的 な ウ ィ ン ド ウ 表 示 オプシ ョ ンの 設 定..................................144 [Device] ビ ューの 表 示 オプシ ョ ンの 設 定....................................144 [Package] ビ ューの 表 示 オプシ ョ ンの 設 定...................................146 [Device] ビューのバンドル ネッ ト 表 示 オプションの 設 定.......................147 [Schematic] ビ ューでのス ラ ッ クおよびフ ァ ンアウ ト の 表 示 オプシ ョ ン...........147 ツールバー コマンドを 使 用 した 表 示 の 調 整..................................147 カ ス タ ム 表 示 設 定 の 保 存.................................................148 テーマの 選 択..........................................................148 カスタム テーマの 作 成 および 使 用.........................................148 ビューの 移 動..........................................................149 カスタム ビュー レイアウトの 作 成.........................................150 ビュー レイアウトの 復 元.....................................................150 デフォル ト のビュー レイアウトの 復 元.....................................150 [Undo]/[Redo] コマンドの 使 用............................................150 PlanAhead の 動 作 の 設 定......................................................... 151 選 択 規 則 オプシ ョ ンの 設 定...................................................151 ショートカット キーの 設 定...................................................151 PlanAhead の 全 般 的 なオプシ ョ ンの 設 定........................................153 PlanAhead の 一 般 的 な ウ ィ ン ド ウ 動 作 の 設 定................................154 第 5 章 : RTL デザイ ン 概 要......................................................................... 155 デザイ ン ソース ファイルの 管 理.................................................. 155 RTL ソース ファイルの 編 集...................................................... 155 テキス ト エデ ィ タの 使 用.....................................................156 PlanAhead ユーザー ガイド japan.xilinx.com 15 UG632 (v12.2) 2010 年 7 月 23 日

テキス ト エデ ィ タのコマン ド.................................................156 言 語 テンプレートのインスタンシエート........................................157 [Find] コマンドを 使 用 したソース ファイルの 検 索.................................157 RTL デザイ ンのエ ラ ボレーシ ョ ン と 解 析........................................... 159 RTL デザイ ンのコ ンパイルの 検 証.............................................159 エ ラ ボレーシ ョ ン 結 果 の 表 示..................................................159 RTL ソース ファイルの 問 題 のハイライト 表 示....................................160 エ ラーのみの 表 示...........................................................160 RTL デザイ ン 環 境 の 使 用.....................................................160 [Instance Properties] ビ ューでの リ ソース 統 計 の 解 析...............................163 RTL ロ ジ ッ ク 階 層 の 解 析.....................................................163 RTL デザイ ン 回 路 図 の 解 析...................................................164 エラボレーション オプシ ョ ンの 設 定............................................165 エ ラ ボレーシ ョ ン 結 果 の 表 示..................................................165 RTL DRC の 実 行.............................................................. 166 DRC の 選 択...............................................................166 DRC 違 反 の 解 析............................................................167 RTL ルール : 消 費 電 力 およびパフ ォーマン ス........................................ 168 消 費 電 力 DRC.............................................................168 パフォーマンス DRC.......................................................169 消 費 電 力 予 測..............................................................170 第 6 章 : デザイ ンの 合 成 PlanAhead での 合 成 およびイ ンプ リ メ ンテーシ ョ ンについて........................... 173 合 成 の 実 行.................................................................... 174 合 成 に 関 する ヒ ン ト.........................................................174 合 成 オプシ ョ ンの 設 定.......................................................174 XST オプシ ョ ン を 使 用 し た 階 層 ネ ッ ト リ ス ト の 作 成...............................175 合 成 の 起 動................................................................176 合 成 実 行 の 起 動........................................................176 合 成 実 行 の 設 定........................................................176 実 行 ス テータ スの 監 視........................................................... 179 合 成 終 了 後 の 次 の 手 順 の 選 択..................................................... 180 実 行 結 果 の 解 析................................................................ 180 複 数 の 合 成 実 行 の 起 動........................................................... 180 第 7 章 : ネ ッ ト リ ス ト の 解 析 および 制 約 の 定 義 概 要......................................................................... 181 [Netlist Design] の 使 用.......................................................... 182 リソース 統 計 の 表 示 とレポート................................................... 183 [Project Summary] ビューのリ ソース 概 算.......................................183 階 層 別 の リ ソ ース 概 算.......................................................183 ロジック インスタンスのリ ソース 統 計 の 表 示....................................184 Pblock のリソース 統 計 の 表 示.................................................185 [Statistics] タブの 使 用.......................................................186 リ ソ ース 統 計 レ ポー ト のエ ク ス ポー ト..........................................187 ロジックのエクスポート......................................................... 188 ロ ジ ッ ク 階 層 の 表 示.........................................................188 ロ ジ ッ ク 回 路 図 の 表 示.......................................................188 階 層 接 続 の 解 析............................................................189 [Find] コマンドを 使 用 したオブジェク トの 検 索...................................190 [Find Results] ビューの 使 用..................................................192 ChipScope デバ ッ グ コアの 挿 入................................................... 192 タイミング 制 約 の 定 義........................................................... 193 テキス ト エデ ィ タ での 制 約 の 編 集.............................................193 ザ イ リ ン ク ス 提 供 の UCF テンプレートの 使 用....................................194 16 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

[Timing Constraints] ビューの 使 用.............................................194 タ イ ミ ング 制 約 の 値 の 変 更...................................................196 新 し い タ イ ミ ング 制 約 の 追 加..................................................197 タイミング 制 約 の 削 除.......................................................197 タイミング 解 析 の 実 行........................................................... 198 PlanAhead のタイ ミ ング 解 析.................................................198 ネッ ト リスト デザ イ ンの タ イ ミ ング 解 析 オプシ ョ ン...............................198 イ ンプ リ メ ン ト 済 みデザ イ ンの タ イ ミ ング 解 析 オプシ ョ ン..........................198 タ イ ミ ング 解 析 結 果 のレ ポー ト................................................199 [Targets] タブのオプショ ン...................................................200 [Choose Points] ダイアログ ボックス...........................................202 [Advanced] タブのオプショ ン............................................206 [Timer Settings] タブのオプショ ン.........................................207 タイミング 結 果 の 解 析.......................................................208 タイミング レ ポー ト の 並 び 替 え...........................................209 パス リストのフラット 化................................................210 タイミング レポートからのパスの 削 除.....................................210 パスの 詳 細 の 表 示......................................................210 タイミング パス レポートのワークスペースでの 表 示..........................212 スラック ヒストグラムの 使 用..................................................... 212 スラック ヒストグラムのオプション 設 定........................................214 [Generate Slack Histogram for Endpoints] ダイアログ ボックスの [Timer Settings] タブ..215 タイミング ヒ ス ト グ ラ ム 結 果 の 解 析............................................218 解 析 するパスの 選 択.....................................................219 ヒストグラム オプシ ョ ンの 変 更...........................................219 物 理 制 約 の 定 義................................................................ 220 [Physical Constraints] ビューの 使 用............................................220 ROOT デザイ ン Pblock の 使 用............................................221 [Physical Constraints] ビューのアイコン....................................221 相 対 配 置 マ ク ロ (RPM) での 作 業...............................................222 デザイ ン ルール チェッ ク (DRC) の 実 行............................................ 223 I/O ポー ト およびク ロ ッ ク ロジック DRC の 実 行.................................223 ネ ッ ト リ ス ト および 制 約 DRC の 実 行...........................................223 DRC エラーの 表 示.....................................................225 DRC ルールについて....................................................226 第 8 章 : I/O ピン 配 置 I/O 配 置 の 概 要................................................................. 231 I/O ピ ン 配 置 の 手 法.........................................................231 I/O 配 置 ス ト ラ テジ.........................................................232 ピ ン 配 置 プ ロ ジ ェ ク ト の 作 成.............................................232 RTL デザイ ンのエラ ボレーシ ョ ン と チェ ッ ク................................232 ネッ ト リス ト デザイ ンの 合 成.............................................232 デザイ ンのイ ンプ リ メ ンテーシ ョ ン と I/O の 最 終 検 証.........................232 I/O ポー ト 配 置 機 能.........................................................232 I/O Planner の 使 用.............................................................. 233 [Package] ビューと [Device] ビ ューの 同 時 表 示...................................234 分 割 し たビ ューを 1 つのビ ューで 表 示 する 方 法...................................235 デバイ ス リソースの 表 示........................................................ 235 パッケージ ピンのプロパティの 表 示............................................236 I/O バン ク リソースの 表 示...................................................236 ク ロ ッ ク 領 域 リ ソ ースの 表 示..................................................237 ク ロ ッ ク 領 域 の リ ソ ース 統 計 の 表 示............................................238 多 機 能 ピ ンの 表 示...........................................................238 ターゲッ ト パーツの 変 更........................................................ 239 デバイ ス コンフィギュレーション モード の 選 択...................................... 240 I/O ポー ト の 定 義 と 設 定......................................................... 241 PlanAhead ユーザー ガイド japan.xilinx.com 17 UG632 (v12.2) 2010 年 7 月 23 日

I/O ポー ト のイ ンポー ト......................................................241 CSV ファイルのインポート..............................................241 カスタム I/O ポー ト プロパティの 使 用.....................................243 UCF ファイルのインポート..............................................243 I/O ポー ト の 作 成...........................................................244 I/O ポー ト の 設 定...........................................................245 I/O ポー ト 方 向 の 設 定...................................................246 差 動 ペアの 定 義........................................................246 DCI_CASCADE 制 約 の 設 定..................................................247 DCI カ ス ケー ド 制 約 の 変 更 と 削 除..............................................248 I/O ピンおよび I/O バン ク の 使 用 禁 止...........................................249 I/O ポー ト インターフェイスの 作 成............................................249 インタラクティブなデザイン ルール チェッ クの 使 用.................................. 251 I/O ポー ト の 配 置............................................................... 251 I/O ポー ト の I/O バン クへの 配 置..............................................252 I/O ポー ト の 定 義 さ れた 領 域 への 配 置...........................................253 I/O ポー ト の 順 次 配 置.......................................................254 I/O ポー ト の 自 動 配 置.......................................................255 ギガビ ッ ト トランシーバ I/O ポー ト の 配 置......................................256 I/O 関 連 の ク ロ ッ ク ロジックの 配 置............................................256 I/O とクロック ロジックの 配 置 の 検 証.............................................. 257 I/O ポー ト およびク ロ ッ ク ロ ジ ッ ク 関 連 の DRC の 実 行............................257 DRC エラーの 表 示.....................................................259 重 要 度 に 応 じ た 違 反 リ ス ト のフ ィ ルタ 表 示..................................259 I/O ポー ト およびク ロ ッ ク ロジック DRC の 詳 細.............................260 同 時 ス イ ッ チ ノイズ (SSN) 解 析 の 実 行.........................................263 ノイズ 解 析 の 実 行 (Virtex-6 および Spartan-6)................................263 SSN 結 果 の 表 示........................................................264 SSN 問 題 の 解 決........................................................265 I/O バンク プロパティの 表 示.............................................266 I/O ポー ト ス イ ッ チ 位 相 グループの 定 義........................................266 WASSO 解 析 の 実 行.........................................................267 WASSO 解 析 の 実 行 (Spartan-3 Virtex-4 Virtex-5)..............................268 WASSO 解 析 結 果 の 確 認.....................................................269 I/O 配 置 制 約 の 削 除............................................................. 269 I/O ピンとパッケージ データのエ ク ス ポー ト........................................ 270 パッケージ ピンの 情 報 のエクスポート..........................................270 I/O ポー ト リストのエクスポート..............................................270 第 9 章 : デザインのインプリ メンテーション 概 要......................................................................... 271 インプリ メンテーションの 実 行................................................... 272 インプリ メンテーション オプシ ョ ンの 設 定......................................272 インプリ メンテーションの 起 動................................................273 インプリ メンテーション run の 開 始........................................273 インプリ メンテーション run の 設 定........................................273 run ステータスの 監 視........................................................... 275 プロジェク ト ステータス 表 示 の 使 用............................................275 run のキャンセル...........................................................276 コンパイル ログの 表 示.......................................................276 コ マン ド 実 行 中 の 出 力 の 一 時 停 止..............................................276 プロジェク トのステータス....................................................... 277 プロジェク ト ステータス バー.................................................277 Flow Navigator のデザイ ン ステート...........................................277 デザ イ ンのア ッ プデー ト が 必 要 であ る こ と を 示 すバナー............................278 run 結 果 の 解 析................................................................. 279 レポート ファイルの 表 示.....................................................279 18 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日

[Compilation Messages] ビュー................................................280 コンパイル メッセージのフィルタ 処 理 とグループ 化...............................281 RTL ソースでのコンパイル 問 題 のハイライ ト....................................281 [Project Summary] ビュー........................................................ 282 [Project Settings] セクション..................................................282 [Project State] セクション....................................................283 [Compilation Settings] セクション.............................................283 [Resources] セクション......................................................283 タイミング 結 果 の 表 示.......................................................284 イ ンプ リ メ ンテーシ ョ ン 終 了 後 の 次 のス テ ッ プの 指 定................................. 285 複 数 run の 実 行................................................................ 285 複 数 run の 作 成............................................................286 複 数 run の 管 理................................................................ 290 [Design Runs] ビューの 使 用..................................................290 アクティブ run の 設 定.......................................................290 run プ ロパテ ィ の 表 示 と 変 更..................................................290 run の 一 般 プロパテ ィ の 表 示..............................................291 run のス ト ラテジ オプシ ョ ンの 表 示........................................292 ストラテジの ISE コマンド オプシ ョ ン セ ッ ト の 上 書 き........................292 run のログの 表 示.......................................................293 レポート ファイルの 表 示.....................................................294 選 択 し た run の 起 動.........................................................294 run のリセット.............................................................295 run の 削 除.................................................................296 リモート Linux ホス トでの run の 起 動.............................................. 297 リモート Linux ホス トで run を 起 動 する 際 の 制 限.................................297 リモート ホス トの 設 定 (Linux のみ)............................................297 第 10 章 : イ ン プ リ メ ンテーシ ョ ン 結 果 の 解 析 イ ンプ リ メ ン ト 済 みデザ イ ン を 開 く................................................ 299 複 数 の イ ンプ リ メ ン ト 済 みデザ イ ン を 開 く.......................................... 302 PlanAhead 外 から の ISE 結 果 の イ ンポー ト.......................................... 303 New Project ウ ィザードを 使 用 した ISE 結 果 プ ロ ジ ェ ク ト の 作 成.....................303 既 存 プ ロ ジ ェ ク ト への 配 置 結 果 の イ ンポー ト.....................................303 既 存 プ ロ ジ ェ ク ト への ISE TRCE タイミング 結 果 のインポート......................303 配 置 およびタ イ ミ ング 結 果 の 解 析.................................................. 305 ザイ リ ンクス TRCE の 結 果 の 表 示.............................................305 [Timing Results] ビューの 使 用................................................305 [Path Properties] ビューの 使 用................................................306 [Device] ビューでのタイ ミング パスの 表 示......................................307 [Schematic] ビューでのタイ ミング パスの 表 示...................................308 ロ ジ ッ ク 接 続 の 表 示............................................................ 309 [Show Connectivity] コマンドの 使 用...........................................309 [Show Connectivity] を 実 行 したロジッ ク 接 続 の 表 示...............................309 ロジック ファンアウトの 展 開 と 選 択............................................310 [Schematic] ビ ューでの ロ ジ ッ ク の 展 開 表 示......................................310 [Find] コマンドを 使 用 したオブジェク トの 検 索....................................... 312 ロジック オブジェ ク ト のハイ ラ イ ト............................................... 312 選 択 し たオブジ ェ ク ト のハイ ラ イ ト............................................312 [Select Primitives] および [Highlight Primitives] コマンドの 使 用.....................313 オブジェ ク ト のハイ ラ イ ト 解 除................................................313 選 択 し たオブジ ェ ク ト のマー ク................................................... 314 オブジェ ク ト のマーク.......................................................314 マークの 削 除..............................................................314 今 後 の イ ンプ リ メ ンテーシ ョ ン 用 の 配 置 ロ ッ ク....................................... 315 [Fix Instances] コマンドの 使 用................................................315 PlanAhead ユーザー ガイド japan.xilinx.com 19 UG632 (v12.2) 2010 年 7 月 23 日

特 定 タ イ プの ロ ジ ッ ク の 固 定..................................................315 ロジック モジュールの 固 定...................................................315 デザイ ン メトリックの 表 示...................................................... 315 [Metrics] ビューの 使 用......................................................316 [Device] ビューでのメ ト リ ック マップの 表 示....................................317 メトリック 表 示 の 解 除.......................................................317 [Metrics Results] ビューの 使 用................................................317 メトリック 範 囲 の 設 定.......................................................318 XPower Analyzer ( 電 力 解 析 ツール ) の 起 動......................................... 319 FPGA Editor の 起 動............................................................ 319 FPGA Editor へのタ イ ミ ング パスのク ロスプローブ.................................. 319 第 11 章 : デザイ ンのフ ロアプ ラ ン フロアプラン ス トラテジの 概 要................................................... 321 Pblock の 概 要................................................................. 321 Pblock の 作 成..............................................................322 [Draw Pblock] コマンドの 使 用............................................322 [New Pblock] コマンドの 使 用.............................................323 [Create Pblocks] コマンドを 使 用 した 複 数 の Pblock の 作 成.....................324 ネス ト 化 された Pblock の 作 成............................................326 クロック 領 域 Pblobk の 作 成..............................................326 Pblock の 図................................................................328 Pblock プロパティの 表 示.....................................................331 [General] タブ.........................................................331 [Statistics] タブ........................................................331 [Instances] タブ........................................................333 [Rectangles] タブ.......................................................333 [Attributes] タブ.......................................................333 Pblock の 設 定................................................................. 334 Pblock ロジック タイプ 範 囲 の 設 定.............................................334 Pblock へのロ ジ ッ クの 割 り 当 て...............................................335 Pblock のロジッ ク 割 り 当 て 解 除...............................................336 Pblock の 移 動 と サイ ズ 変 更...................................................336 Pblock の 移 動.........................................................336 Pblock のサイズ 変 更....................................................337 [Set Pblock Size] コマンドの 使 用..........................................337 リ ソ ース 使 用 率 の 統 計 を 使 用 し た Pblock サイズの 決 定............................338 接 続 に 基 づいた Pblock の 配 置................................................340 バン ドル ネッ ト プロパティの 表 示.............................................341 バン ドル ネットのデフォルトの 変 更............................................341 複 数 の 長 方 形 があ る Pblock の 使 用.............................................342 複 数 の 長 方 形 を 含 む Pblock の 作 成.........................................342 複 数 の 長 方 形 があ る Pblock の 変 更.........................................342 Pblock 長 方 形 の 削 除........................................................343 Pblock の 属 性 の 設 定........................................................344 カ ス タ ム 属 性 の 作 成.........................................................346 Pblock の 名 前 の 変 更........................................................346 Pblock の 削 除..............................................................346 Pblock 自 動 配 置 プ ロ グ ラ ムの 実 行.............................................347 配 置 LOC 制 約................................................................. 348 固 定 さ れた 配 置 制 約 および 固 定 さ れていない 配 置 制 約 について......................349 サ イ ト 制 約 および BEL 制 約 について...........................................349 サイ ト ロ ケーシ ョ ン 配 置 制 約 (LOC) の 割 り 当 て..................................349 BEL 配 置 制 約 (BEL) の 割 り 当 て..............................................350 配 置 制 約 の 表 示 / 非 表 示......................................................350 配 置 制 約 の 移 動............................................................352 選 択 し た 配 置 制 約 の 削 除.....................................................352 20 japan.xilinx.com PlanAhead ユーザー ガイ ド UG632 (v12.2) 2010 年 7 月 23 日