はじめに 製作するシステム 外部へデータ保存 製作手順 全体回路図 ソフトウエアの作成 次へのステップ 付録 I2C インターフェースを利用して外付けのEEPRO Mへデータ保存を行います データを保存する方法にはいくつかあります 代表的な例を挙げます 保存媒体 応答速度 通信方法 必要端子 プログ

Size: px
Start display at page:

Download "はじめに 製作するシステム 外部へデータ保存 製作手順 全体回路図 ソフトウエアの作成 次へのステップ 付録 I2C インターフェースを利用して外付けのEEPRO Mへデータ保存を行います データを保存する方法にはいくつかあります 代表的な例を挙げます 保存媒体 応答速度 通信方法 必要端子 プログ"

Transcription

1 I2C インターフェースを利用して外付けのEEPRO Mへデータ保存を行います データを保存する方法にはいくつかあります 代表的な例を挙げます 保存媒体 応答速度 通信方法 必要端子 プログラム難易度 特徴 ( 用途 ) メモリカード 速い 複雑 多い 高い CF/SD/MS など多種ある 大量にデータをやりとりする場合に使う IC 遅い 簡単 少ない 低い 1 バイト単位で書き換え可能 通信方法として SPI/I2C など ここでは 入手しやすいI2C を使いIC(EEPROM ) へデータの書き込みを行います データが正し 24C256 く書き込まれたかを検証するプログラムを作成します [I2C EEPRO Mのプロトコル ] I2C はSCL/SDA(78K0 Rでは SCL0/SDA 0を使います ) の2 線で制御を行います SCL はクロック信号 SDA がデータ信号を扱います 接続は78K0 RがシングルマスタでEEPRO Mがスレーブという簡単な構成です 以下に書き込み / 読み込みを行う場合のSDA について説明します (SCL はクロック信号なので省略 ) [1 バイト書き込み ] Control Start Byte 固定 AAA A C K 1 参照 EEPROM の書き込みコマンド EEPROM のアドレス上位 Byte EEPROM のアドレス下位 Byte 書き込むデータ A C K A CK A CK Stop EEPROM の実アドレスは 0x7FFF までなので必ず 0 になります 1EEPROM(24C256 ) の設定を反映させます EEPROMA 0~A2 の端子状態 ( アドレス ) 設定します A0~ A2 まで設定できるEEPRO Mなら A0~A2 の値をユニークな値にして8 個まで並列に接続可能です VD [ ページ書き込み ] Control Start Byte 固定 1 A0 A1 A2 GND 24C256 8 VC WP SCL SDA AAA A C K VD SCL0 SDA0 EEPROM のアドレス上位 Byte 左記の回路の場合 Control eは [ Byt ] となりますこのControl eの設定は全てのコマンドに共通です Byt EEPROM のアドレス下位 Byte 書き込むデータ 0 書き込むデータ n A C K A CK A CK A CK 2 参照 21 度に書き込めるデータ量 (n) はEEPRO Mサイズによって変わります 概ね8~64Byt eですが 詳細はデータシートを参照してください Stop チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

2 [1 バイト読み込み ] Start EEPROM の読み込みコマンド Start 固定 Control Byte AAA A C K EEPROM のアドレス上位 Byte EEPROM のアドレス下位 Byte Control Byte 読み込むデータ AAA A A A N C CK CK OACK K Stop ここまでは 1 バイト書き込みと同様です Stop Bit を発行せず Start Bit を再発行することに注意 [ ページ読み込み ] EEPROM の読み込みコマンド Start 固定 Control Byte AAA A C K 読み込むデータ 0 読み込むデータ 1 読み込むデータ n A C K A CK N OACK Stop ページ読み込みは読み込むEEPRO Mのアドレスを指定できません 必ず1バイト読み込みのコマンド実行してアドレス指定後にページ読み込みを行ってください 1バイト読み込みで指定したアドレスは 読み込み後に +1 されています ワンポイント EEPRO Mの書き込み速度について I2C EEPRO Mでは一般的に書き込みコマンド発行後 処理が終わるまでに5mse cほどかかります ( 詳細は各社のデータシートを参照してください ) 5mse cという時間はマイコンの処理の中では 非常に長い時間です リアルタイムに処理するプログラムの場合には この待ち時間を考慮しなければなりません 今回のプログラムの場合は リアルタイムに処理する必要はないためI2C EEPRO Mへのコマンド発行後に その場で時間待ち処理を行っています チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

3 I2C EEPRO Mをベースボードへ配線します なお IC ソケットを使用しておくと後でI2C EEPRO Mサイズの変更が容易になります 使う部品はEEPRO Mとプルアップする抵抗だけですので簡単です サンプルプログラムとしてEEPRO Mへ単純に書き込みと読み込みが可能がどうかをテストします QB-78K0RKG 3-TB 上のSW(INTP0 ) を押下した時にテストを行うようにします 9.I2C MEEPRO の取り付け回路は簡単です 下図を参考に配線してください 78K0 Rの SCL/SD Aは N-ch オープンドレインになっており 共にプルアップが必要です EEPROM(24C256 ) のWP はWriteProtec tを表します WP をプルアップするとWriteProtec tが有効になり EEPRO Mへ書き込みできなくなるのでプルダウン (GND へ接続 ) します VD VD VD 1 8 VD A0 VC CN1 2KΩ 2KΩ A1 WP A2 SCL P60(No.1 ) GND SDA P61(No.2 ) 24C256 組み立て順 部品の名称 型番 個数 備考 9 I2C 抵抗 EEPRO M 24C KΩ 2 互換品でも可 チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

4 45:00 ハードウエアの部分が完成したところで 次にソフトウエアの設定を行います TB ボード上のSW (INTP0 ) が押下された時にプログラムを実行するようにします a. Applilet 2を起動し デバイス プロジェクト名 システム設定します 準備(2) を参照してマイクロコントローラ名 デバイス名 upd78f1166_a 0 CPU クロック 20MH z オンチップ デバッグ ウォッチドッグ タイマを設定します プロジェクト名は EEPROM_tes t にします b. 割り込み タイマ シリアル を設定します INTP 0 有効 P120 プルアップ タイマチャネル1mse cインターバルタイマ IIC0 の設定をします INTP 0チェック 立ち下がりエッジで設定 割り込み設定 P120 の Pull-up にチェック この他に P76 P7 のポートを出力に設定してください TB ボード上の LED を動作確認に使用します ポート設定 I2C の設定タブ シングルマスタへチェック チャネル1はインターバルタイマ1mse cに設定する タイマ設定 チェックを外す シリアル設定 チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

5 c. GO ボタンを押下してコード生成します 以下に生成ソース一覧を説明します [ ソース ファイルの構成 ] AD_swtest.pr x Applilet 2 用保存したファイル Applilet 2が生成するソース一覧 AD_swtest.pr w PM+ で使用する環境ファイル AD_swtest.pr j PM+ で使用する環境ファイル lk.d r リンクディレクティブ ファイル ( シンボル定義ファイル ) 今回は未使用 macrodriver. h Applilet 2 用定数定義ソースファイル user_define. h ユーザー追加用定義ソースファイル System. c/h クロック設定ソースファイル System_user. c ユーザー追加用初期化関数ソースファイル systeminit. c 各種周辺機能初期化ソースファイル main. c メイン関数 TAU. c/h タイマ処理 TAU_user. c ユーザー処理用タイマ割り込みハンドラ定義処理 Int. c/h 外部割り込み処理 Int_user. c 外部割り込みハンドラ定義処理 Serial. c/h シリアル処理 Serial_user. c シリアル処理用割り込みハンドラ ctl_i2c.c EEPRO M 制御用ソースファイル 新規に作成します 上記のソースファイルで赤枠で示したのが で編集対象のファイルです 次ページより編集するソースを説明します チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

6 d. プログラムを追加します 下記に示す青字のコードを追加してください PM+ でプロジェクトを開きソースファイルを編集してください user_define.h #ifndef_md_user_def_ #define_md_user_def_ プログラム内で動作を判断する時の /***************************************************************************** モードを定義しています ** Macrodefine ******************************************************************************/ /*Startusercodefordefinition.Donoteditcommentgeneratedhere*/ /* メインで処理を行うイベントコード */ #defined_ev_intp0 0x /*INTP0 押下 ( 処理中 ) */ /*I2C ステータス定義 */ #defined_i2c_off 0 #defined_i2c_write 1 /*writemode (EEPROM データ書き込み ) */ #defined_i2c_readset 2 /*readsetmode(eeprom アドレス設定 ) */ #defined_i2c_readdata 3 /*readdata mode(eeprom データ呼び出し )*/ /*EEPROM 関係の定義 */ #defined_eeprom_code 0xA2 /* コントロールバイト値 EEPROM のデバイスアドレスは1に設定している */ #defined_eeprom_buf 20 /*EEPROM を読み込む際のバッファ ( 書き込みバッファとしても利用 ) */ #defined_eeprom_timeout 8192 /*EEPROM のタイムアウト時間 */ #defined_eeprom_accesssize16 /*EEPROM を読み書きする際のサイズ */ /*inmain.c*/ voidwait1msec(uintpara1_); /*inctl_i2c.c*/ voidi2c_initvalue(void); MD_STATUSi2c_write(USHORTdataadrs_,UCHAR*p_sadrs_,UINTlength_); MD_STATUSi2c_randomread( USHORTdataadrs_,UCHAR*p_sadrs_); MD_STATUSi2c_read(UCHAR*p_sadrs_,UINTlength_); /*inserial.c*/ MD_STATUSIIC0_MasterReceiveStartRandom(UCHARadr,UCHAR*rxbuf,UINTrxnum,UCHARwait); #include"string.h" #include"stdlib.h" #include"stdio.h" /*Endusercodefordefinition.Donoteditcomment generatedhere*/ #endif ワンポイント #ifnde f~ #endi fについてヘッダファイルではよくこのような書き方をします これは ヘッダファイルが多重にinclud eされていても 定義を繰り返さないように処理しているのです 上記の場合 _MD_USER_DEF _ が定義されていなければ _MD_USER_DEF _ を定義してファイルの終わりにある #endi fまで有効となるようにしています ですので 仮に下記のような記述でもエラーにならないのです また 定義名としてはユニークな名前をつける必要があるため _ ファイル名 _ のような名前をつける場合が多いです 例 #include <user_define. h> #ifnde f_md_user_def _ #include <user. h> #define _MD_USER_DEF _ #include <user_define. h> : : : : : : [main. c] #endi f [user_define. h] [user. h] user. hで #include <user_define. h> 定義されているので二度 読込まれる事になる チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

7 main.c( リスト 1) /***************************************************************************** ** Globaldefine ******************************************************************************/ /*Startusercodeforglobaldefinition.Donotedit commentgeneratedhere*/ UINT g1mseccounterwait; /*Intervaltimer1msecforwait function*/ ULONG geventflag; /* イベントをチェックするフラグ */ UCHAR gdata; /*EEPROM へ書き込むデータ */ UCHAR geepromwritebuf[d_eprom_buf]; /*EEPROM へ書き込む際のバッファ */ USHORTgEepromWriteAdrs; /*EEPROM へ書き込むアドレス */ UCHAR geepromreadbuf[d_eeprom_buf]; /*EEPROM へ読み込み際のバッファ */ USHORTgEepromReadAdrs; /*EEPROM へ読込むアドレス */ /*Endusercodeforglobaldefinition.Donoteditcommentgeneratedhere*/ void main(void) /*Startusercode.Do noteditcommentgenerated here*/ MD_STATUSretflag; inti; P7.6=1; /*TB ボード上のLED を消灯 */ P7.7=1; i2c_initvalue(); geepromwriteadrs=0; geepromreadadrs=0; g1mseccounterwait=0; geventflag=0; gdata=0x11; /* 初期データの値 */ TAU_Channel1_Start(); while(1) if((geventflag&d_ev_intp0)!=0) P7.6=0; /*TB ボード上の LED を点灯 */ P7.7=0; /*EEPROM へ書き込むデータの設定 検証データの設定 */ memset(geepromwritebuf,0x00,sizeof(geepromwritebuf)); memset(geepromwritebuf,gdata,d_eeprom_accesssize); memset(geepromreadbuf,0x00,sizeof(geepromreadbuf)); /*I2CEEPROM へデータを書き込み 5msec 時間待ちを行う */ retflag=i2c_write(geepromwriteadrs,geepromwritebuf,d_eeprom_accesssize); wait1msec(5); /*I2CEEPROM よりデータを読み込み 5msec 時間待ちを行う */ retflag=i2c_randomread(geepromreadadrs,geepromreadbuf); wait1msec(5); retflag=i2c_read(&geepromreadbuf[1], (D_EEPROM_ACCESSSIZE-1) ); wait1msec(5); for(i=0;i <D_EEPROM_ACCESSSIZE;i++ ) if(geepromwritebuf[i]!=geepromreadbuf[i]) break; if(i==d_eeprom_accesssize) P7.6=1; /*TB ボード上の LED を消灯 */ P7.7=1; geventflag=0; gdata+=0x11; geepromreadadrs+=d_eeprom_accesssize; geepromwriteadrs+=d_eeprom_accesssize; EEPRO Mに書き込まれたかを検証するためのデータ EEPRO Mの 0 番地から 0x11 を 16 バイト書き込む EEPRO Mの 0 番地から16 バイト geepromreadbu fへ読み込む EEPRO Mへ書き込んだデータと読み込んだデータが異なっている場合 TB ボード上のLED が点灯したままになる チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

8 main.c( リスト 2) /*Endusercode.Donoteditcommentgeneratedhere*/ /*Startaddingusercode. Donoteditcommentgeneratedhere*/ /* */ /*1msec 単位の時間待ち */ /* */ voidwait1msec(uintpara1_) /*1msec の時間待ちを para1_ 分だけ行う */ g1mseccounterwait=0; while(g1mseccounterwait<para1_); /*Endusercodeadding.Donoteditcommentgeneratedhere*/ Int_user.c /*************************************************************************** ** Globaldefine ****************************************************************************/ /*Startusercodeforglobaldefinition.Donotedit commentgeneratedhere*/ externulong geventflag; /*Endusercodeforglobaldefinition.Donoteditcommentgeneratedhere*/ /* ** Abstract: ThisfunctionisINTP0interruptserviceroutine. ** Parameters: None ** Returns: None ** */ interruptvoidmd_intp0( void) /*Startusercode.Do noteditcommentgenerated here*/ if((geventflag&d_ev_intp0)==0) geventflag =D_EV_INTP0; /*Endusercode.Donoteditcommentgeneratedhere*/ TAU_user.c /*************************************************************************** ** Globaldefine ****************************************************************************/ /*Startusercodeforglobaldefinition.Donotedit commentgeneratedhere*/ externuint g1mseccounterwait; /*Endusercodeforglobaldefinition.Donoteditcommentgeneratedhere*/ /* ** Abstract: ThisfunctionisINTTM01interruptserviceroutine. ** Parameters: None ** Returns: None ** */ interruptvoidmd_inttm01(void) /*Startusercode.Do noteditcommentgenerated here*/ g1mseccounterwait++; /*Endusercode.Donoteditcommentgeneratedhere*/ チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

9 Serial.c ( ファイルの最後に書きます ) /*Startaddingusercode. Donoteditcommentgeneratedhere*/ MD_STATUSIIC0_MasterReceiveStartRandom(UCHARadr,UCHAR*rxbuf,UINTrxnum,UCHARwait) STT0=1; /* IIC0startcondition*/ /*wait*/ while(wait--); /*setparameter*/ giic0rxlen=rxnum; giic0rxcnt=0; gpiic0rxaddress=rxbuf; Serial.c に含まれる関数 MD_STATUSIIC0_MasterReceiveStartIIC0_MasterReceiveStart 上記の関数から抜粋して ストップコンディションを生成しないでI2C の送信するような関数を作成します giic0masterstatusflag =IIC0_MASTER_FLAG_CLEAR; adr =0x01; /* receivemode*/ IIC0=adr; /* receiveaddress*/ returnmd_ok; /*Endusercodeadding.Donoteditcommentgeneratedhere*/ Serial_user.c( リスト 1) /**************************************************************************** ** Includefiles ******************************************************************************/ #include"macrodriver.h" #include"serial.h" /*Startusercodeforincludedefinition.Donoteditcommentgeneratedhere*/ /*inctl_i2c.c*/ externmd_statusi2c_eepromread(ushortdatano_); /*Endusercodeforincludedefinition.Donotedit commentgeneratedhere*/ #include"user_define.h" /***************************************************************************** ** Globaldefine ******************************************************************************/ extern volatileuchar giic0masterstatusflag; extern volatileuchar giic0slavestatusflag; extern volatileushort giic0txcnt; extern volatileuchar* gpiic0txaddress; extern volatileuchar* gpiic0rxaddress; extern volatileushort giic0rxcnt; extern volatileushort giic0rxlen; /*Startusercodeforglobaldefinition.Donotedit commentgeneratedhere*/ /*inctl_i2c.c*/ externuchar gi2cstatus; externmd_statusgi2cerrorflag; externuchar gi2creadbuffer[d_eeprom_buf]; /*Endusercodeforglobaldefinition.Donoteditcommentgeneratedhere*/ チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

10 Serial_user.c( リスト 2) /* ** ** Abstract: ThisfunctioncallbackfunctionopenforusersoperationwhenIIC0mastererror. ** Parameters: flag: statusflag ** Returns: None ** */ voidcall_iic0_mastererror(md_statusflag ) /*Startusercode.Do noteditcommentgenerated here*/ gi2cerrorflag=flag; gi2cstatus=d_i2c_off; /*Endusercode.Donoteditcommentgeneratedhere*/ /* ** ** Abstract: ThisfunctioncallbackfunctionopenforusersoperationwhenIIC0masterreceivefinish. ** Parameters: None ** Returns: None ** */ voidcall_iic0_masterreceiveend(void) /*Startusercode.Do noteditcommentgenerated here*/ SPT0=1; gi2cerrorflag=md_master_rcv_end; gi2cstatus=d_i2c_off; ストップコンディションを生成してステータスを I2C 通信終了します /*Endusercode.Donoteditcommentgeneratedhere*/ /* ** ** Abstract: ThisfunctioncallbackfunctionopenforusersoperationwhenIIC0mastertransmitfinish. ** Parameters: None ** Returns: None ** */ voidcall_iic0_mastersendend(void) /*Startusercode.Do noteditcommentgenerated here*/ /* ストップコンディションの生成チェック */ if(gi2cstatus==d_i2c_write) /* ストップコンディションの生成 */ SPT0=1; ステータスをチェックして I2C への書き込みだったらストップコンディションを生成します gi2cerrorflag=md_master_send_end; gi2cstatus=d_i2c_off; /*Endusercode.Donoteditcommentgeneratedhere*/ /*Startaddingusercode. Donoteditcommentgeneratedhere*/ /*Endusercodeadding.Donoteditcommentgeneratedhere*/ チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

11 ctl_i2c.c( リスト 1) /**************************************************************************** **Includefiles *****************************************************************************/ #include"macrodriver.h" #include"serial.h" #include"user_define.h" /**************************************************************************** ** Globaldefine *****************************************************************************/ UCHAR gi2cstatus; /*I2Cの通信ステータス */ UCHAR gi2cbuffer[d_eeprom_buf+4]; /*I2CEEPROM へコマンドを送る際のバッファ */ USHORT gi2ceepromwriteadrs; /*I2CEEPROM の書き込み実アドレス */ USHORT gi2ceepromreadadrs; /*I2CEEPROM の読み込み実アドレス */ MD_STATUSgI2cErrorflag; /*I2C 通信エラー時の状態 */ /*i2c 関連の変数を初期化 */ voidi2c_initvalue(void) gi2cstatus=d_i2c_off; gi2cerrorflag=md_ok; /* 指定したバイト数 アドレスでEEPROM へ書き込みを行う */ /* */ /*dataadrs_: EEPROM データ格納アドレス */ /**p_sadrs_: 書き込みデータ格納アドレスのポインタ */ /*length_ : データ長 */ MD_STATUSi2c_write(USHORTdataadrs_,UCHAR*p_sadrs_,UINTlength_) UINT cnt; MD_STATUSret; memset(gi2cbuffer,0x0,sizeof(gi2cbuffer)); gi2ceepromwriteadrs=(ushort)dataadrs_; gi2cbuffer[0]=(uchar)((gi2ceepromwriteadrs& 0xff00)>>8); gi2cbuffer[1]=(uchar)((gi2ceepromwriteadrs& 0xff)); memcpy(&gi2cbuffer[2 ],p_sadrs_,length_); gi2cstatus=d_i2c_write; /*EEPROM のデバイスアドレス A0 のみ HIGH */ ret=iic0_mastersendstart(d_eeprom_code,gi2cbufer,(length_+2),1); gi2cerrorflag=ret; cnt=d_eeprom_timeout; while(gi2cstatus== D_I2C_WRITE) cnt--; if(cnt==0) gi2cerrorflag =MD_RESOURCEERROR; ret=gi2cerrorflag; break; ; EEPRO Mへ書き込み後 一定時間経過しても I2C ステータスが終了にならない場合は 書き込みエラーとします returnret; チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

12 ctl_i2c.c( リスト 2) /* 指定したアドレスでEEPROM より1バイト読み込みを行う */ /* */ /**dataadrs_: EEPROM データ格納アドレス */ /* 読み込みを行うとEEPROM から読み出すアドレスも加算される */ /**p_sadrs_ : 読み込むデータ格納アドレスのポインタ */ MD_STATUSi2c_randomread( USHORTdataadrs_,UCHAR*p_sadrs_) UINTcnt; MD_STATUSret; gi2ceepromreadadrs=(ushort)dataadrs_; gi2cbuffer[0]=(uchar)((gi2ceepromreadadrs& 0xff00)>>8); gi2cbuffer[1]=(uchar)((gi2ceepromreadadrs& 0xff)); ret=iic0_mastersendstart(d_eeprom_code,gi2cbufer,2,1); gi2cerrorflag=ret; gi2cstatus=d_i2c_readset; if (ret==md_ok) cnt=d_eeprom_timeout; while(gi2cstatus ==D_I2C_READSET) ; cnt--; if(cnt==0) gi2cerrorflag=md_resourceerror; ret=gi2cerrorflag; break; if(!(gi2cerrorflag&md_errorbase)) gi2cstatus=d_i2c_readdata; EEPRO Mから読み込むアドレス設定後 一定時間経過してもI2C ステータスが終了にならない場合は エラーとします ret=iic0_masterreceivestartrandom(d_eeprom_code,p_sadrs_,1,1); if (ret==md_ok) cnt=d_eeprom_timeout; while(gi2cstatus==d_i2c_readdata) ; cnt--; if(cnt==0) gi2cerrorflag=md_resourceerror; ret=gi2cerrorflag; break; EEPRO Mから 1バイト読み込み後 一定時間経過してもI2C ステータスが終了にならない場合は エラーとします returnret; チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

13 ctl_i2c.c( リスト 3) /* 指定したバイト数をEEPROM より読み込みを行う */ /* */ /**p_sadrs_: 読み込むデータ格納アドレスのポインタ */ /* 読み込みを行うとEEPROM から読み出すアドレスも加算される */ /*length_ : データ長 */ MD_STATUSi2c_read(UCHAR*p_sadrs_,UINTlength_) UINTcnt; MD_STATUSret; ret=iic0_masterreceivestart(d_eeprom_code,p_sadrs_,length_,1); gi2cstatus=d_i2c_readata; if (ret==md_ok) cnt=d_eeprom_timeout; while(gi2cstatus ==D_I2C_READDATA) ; cnt--; if(cnt==0) gi2cerrorflag=md_resourceerror; ret=gi2cerrorflag; break; EEPRO Mから読み込み後 一定時間経過してもI2C ステータスが終了にならない場合は エラーとします returnret; チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

14 e. プログラムをビルドして実行してみます QB-78K0RKG 3-TB ベースボードに + MINICUBE 2を接続してデバッガを起動します 1. A/D でSW と同様にMINICUBE 2を設定し QB-78K0RKG 3-TB PC 本体とつなげます + ベースボードと接続した後に 78K0R /KG3 オンド :26 :27 2.PM + を起動し プログラムをビルドしてID78K0 R-QB 上で実行します 変数の登録などは 温度を計測 の章で実行させた場合を参考にしてください TB ボード上のSW を押下した時にEEPRO Mへの書き込みを行います 1. ブレークポイントを設定し ここで停止させ変数の内容を確認します 2. 読み込みバッファと書き込みバッファの内容 (16byte ) が一致していればOK です 変数のアドレス値を見て中身を参照して確かめて下さい 3. また TB ボード上の LED にも注目してください 正常に書き込み / 読み込みが行われていれば LED が一瞬点灯します エラーがあれば LED が点灯したままになります チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

15 d. f. プログラム全体の流れを説明します シーケンス図で説明します ただし A/D でSW 入力 と同じ部分は省略します main.c のmain() が呼ばれるまでは A/D でSW 入力 と同じ処理なので省略します タイマ割り込み ( 時間待ち用 ) A/D 変換割り込み 外部 (INTP) 割り込み メインプログラム I2C 制御プログラム タイマ割り込みを許可します これ以降 1msec 毎にタイマ割り込みが有効になります main.c の main() が呼ばれます main() の処理は 変数初期化 タイマ割り込みを有効後に I2C 初期化を行います I2C 制御で扱う変数の初期化します 外部割り込みイベントが発生したかチェックを行うループ内の処理です メインプログラムが起動されるまでの流れ geventflag へ値を設定します INTP 割込み発生 割り込み処理を含めた場合の流れ geventflag 発生時 EEPROM バッファを初期化 LED 点灯 送信完了割込み発生 Stop コンディションの生成 EEPROM へ書き込み I2C データ送信 5msec 時間待ち 送信完了割込み発生 EEPROM より 1 バイト読み込み I2C データ送信 Stop コンディションは生成しない 5msec 時間待ち 送信完了割込み発生 EEPROM より 15 バイト読み込み I2C データ送信 Stop コンディションの生成 5msec 時間待ち EEPROM へ書き込んだデータと EEPROM より読込んだデータを比較する 比較して違いがあれば TB ボードの LED を消灯しない 書き込むデータ アドレスなどを更新する チュートリアル ガイド ( 実践編 ) ZUD-CD /127 Page

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x

1. 概念 STM32F4 Discovery 基板は Mini USB を接続して デバッグやプログラムの書き込みができるようになっています 通常は CPU の 0x 番地からプログラムを実行します では なぜわざわざこのプロジェクトの雛形を使用して CPU の 0x Base_STM32F4_Discovery の説明 2013/09/05 STM32F4 Discovery 基板の CPU STM32F407VG の FlashMemory 0x08010000 番地に書き込んで実行させる ユーザープログラムのためのプロジェクトの雛形です 本プロジェクトを元にユーザープログラムを作成して USB 経由で CPU に書き込みます USB 経由で CPU にプログラムを書き込むためには

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C

SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 C SDC_SDIO_STM32F4 の説明 2013/09/17 SDIO インターフェースで SD カードをアクセスするプログラムのプロジェクトサンプルです FAT でファイルアクセスするために FatFs( 汎用 FAT ファイルシステム モジュール ) を使用しています VCP(USB 仮想 COM ポート : 非同期シリアル通信 ) を使用して SD カードのアクセスを試験することができます

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

TCP_BP3591 の説明 V /03/28 ROHM 社製 WIFi モジュール BP3591 を使用して 無線 LAN により TCP/IP 通信を行うプログラムです 簡単な文字列によるコマンド ( 例 : LED0 ON ) を受信して LED の ON/OFF を行います 受

TCP_BP3591 の説明 V /03/28 ROHM 社製 WIFi モジュール BP3591 を使用して 無線 LAN により TCP/IP 通信を行うプログラムです 簡単な文字列によるコマンド ( 例 : LED0 ON ) を受信して LED の ON/OFF を行います 受 TCP_BP3591 の説明 V002 2014/03/28 ROHM 社製 WIFi モジュール BP3591 を使用して 無線 LAN により TCP/IP 通信を行うプログラムです 簡単な文字列によるコマンド ( 例 : LED0 ON ) を受信して LED の ON/OFF を行います 受信したデータはエコーバックされます 無料の開発ツール Atollic TrueSTUDIO for ARM

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が

1. LCD LS027B4DH01 について LS027B4DH01 は 400dot x 240dot のグラフィック LCD です 秋月電子通商で購入できます 外形サイズ : 62.8 x x 1.53mm LCD のフレキシブルケーブルの根元の部分はちょっと力を加えただけで表示が STM32L_LS027B4DH01 の説明 V002 2014/03/30 STM32L-Discovery 用に作成した LCD LS027B4DH01 に ASCII 文字表示を行うプログラムです Free の開発ツール Atollic TrueSTUDIO for ARM Lite ( 試用版 ) で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です

More information

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー 初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コード生成を利用したプログラム作成 ( ポート入力 ) P47 次回 ( 第 4 回 ) は, 以下の内容を予定しています

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができ

tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができ tri_s_tg12864_vcp の説明 2014/02/05 飛石伝ひ CPU 基板 の LCD TG12864 の表示プログラムです 漢字表示 (JIS208) を行うことができます USB の VCP ( 仮想 COM ポート ) を使用して非同期シリアル通信により 表示試験を行うことができます 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成したプロジェクトです

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

パーツリスト 組み立て前にすべてのパーツがそろっているかご確認ください 種類 品番 数 種類 品番 数 基板 I2C LCD 1 コンデンサ 0.1uF (104) 積層セラミック 1 IC ATtiny コンデンサ 10uF 電解 1 半固定抵抗 10~50kΩ 1 コネクタ IC ソ

パーツリスト 組み立て前にすべてのパーツがそろっているかご確認ください 種類 品番 数 種類 品番 数 基板 I2C LCD 1 コンデンサ 0.1uF (104) 積層セラミック 1 IC ATtiny コンデンサ 10uF 電解 1 半固定抵抗 10~50kΩ 1 コネクタ IC ソ Arduino Shield series 汎用 LCD I2C 化アダプター I2C LCD Galileo 7 Printed 2010-02-06 本製品について 豊富に出回っている汎用のキャラクター液晶ディスプレイモジュールは パラレル接続でコントロールするようになっていますが これを I2C(TWI) でコントロールできるようにするアダプターです 電源以外に 2 本の制御線をつなぐだけでコントロールできるため

More information

RY_R8C38ボード RY-WRITER基板 自動書き込み・実行解説マニュアル

RY_R8C38ボード RY-WRITER基板 自動書き込み・実行解説マニュアル RY_R8C38 ボード RY-WRITER 基板自動書き込み 実行解説マニュアル 第.0 版 205.04.20 株式会社日立ドキュメントソリューションズ 注意事項 (rev.6.0h) 著作権 本マニュアルに関する著作権は株式会社日立ドキュメントソリューションズに帰属します 本マニュアルは著作権法および 国際著作権条約により保護されています 禁止事項 ユーザーは以下の内容を行うことはできません

More information

プログラマブル LED 制御モジュール アプリ操作説明書 プログラマブル LED 制御モジュール設定アプリ操作説明書 適用モジュール 改訂番号 エレラボドットコム 1

プログラマブル LED 制御モジュール アプリ操作説明書 プログラマブル LED 制御モジュール設定アプリ操作説明書 適用モジュール 改訂番号 エレラボドットコム 1 設定 適用モジュール 041-1 改訂番号 20161024 エレラボドットコム 1 ( 用アプリの利用可能環境 ) Windows7 8.1 10 のいずれかが動作する PC Windows8 以降の場合は 次ページ記載の Windows8 以降の.NET Framework の有効化 (p3~7) の操作をするか 設定されていることを確認してからアプリをインストールしてください.NET Framework2.0

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

Microsoft Word - SfWriter.doc

Microsoft Word - SfWriter.doc シリアルフラッシュ ROM 対応のライタープログラムを追加する場合の説明 ( ブートモード 1/3 用 ) 対象 CPU Rev1.30 2012/10/4 DEF バージョン 11.00A より DEF バージョン 12.10A 変更 DEF バージョン 12.20A 変更 1.ROM レス品種が対象になります (SH7262/4/6/7) 機能 1. サンプルで AT25DF041A の対応ソフトを用意してあります

More information

リモートデバッグモードでのデバッグ 注意!! 外部 RAM を持たない CPU ボードの場合は 次項の RLL 機能を利用したリモートデバッグモードでのデバッグ をごらんください モニタの書き込みまず最初にモニタと呼ばれるプログラムをターゲットのフラッシュ ROM に書き込みます リモートデバッグ中

リモートデバッグモードでのデバッグ 注意!! 外部 RAM を持たない CPU ボードの場合は 次項の RLL 機能を利用したリモートデバッグモードでのデバッグ をごらんください モニタの書き込みまず最初にモニタと呼ばれるプログラムをターゲットのフラッシュ ROM に書き込みます リモートデバッグ中 YellowIDE7 専用イエロースコープはじめの一歩 前提条件 イエローソフトの CPU ボードの場合このマニュアルはイエローソフトの各 CPU ボード用 はじめの一歩 の続編です イエロースコープの使い方を簡単に説明しています このマニュアルを読む前に各 CPU ボード用 はじめの一歩 を読んで サンプルプログラムが正常に動作することを確認しておいて下さい 詳細に関しては イエロースコープスタートアップガイド

More information

Microsoft PowerPoint - フェリカ通信仕様書_

Microsoft PowerPoint - フェリカ通信仕様書_ 1 / 25 2006/07/19 フェリカリーダ通信仕様書 Ver.00.12 松下電工株式会社 制御デバイス事業部 2 / 25 目次 1. 基本構成 3 2. 通信規則 4 3. パケットの構成 5 4. コマンド一覧 6 5. コマンドの詳細 ( ホスト R/Wユニット 7 #A... 動作状態確認 #B... リセット #C... 動作状態変更 #D... データ書き込み (1) #E...

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi

このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです 開発環境は MicrosoftVi DigitalGaugeCounter DG3000 シリーズ ダイナミックリンクライブラリ GaugeC48.dll(DLL) 取扱説明書 このダイナミックリンクライブラリ GaugeC48.dll は 8CH から 48CH 用の DigitalGaugeCounterDG3000 シリーズ共通の DLL です この説明書は GaugeC48.dll を使ったアプリケーションを作成するためのものです

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

Microsoft Word - Cubesuite+_78K0R.doc

Microsoft Word - Cubesuite+_78K0R.doc TK-78K0R シリーズ CubeSuite+ 対応追加マニュアル テセラ テクノロジー株式会社 Rev :1.0 2011/7/19-1 - 目次 1 本書の概要...3 2 PC 動作環境の説明...4 3 USB ドライバーダウンロードとインストール...6 4 CubeSuite+ プロジェクトへの変換... 11 5 設定の確認... 13 6 ビルド デバッガ起動... 15 7 78K0R

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 今回のプログラミングの課題 次のステップによって 徐々に難易度の高いプログラムを作成する ( 参照用の番号は よくわかる C 言語 のページ番号 ) 1. キーボード入力された整数 10 個の中から最大のものを答える 2. 整数を要素とする配列 (p.57-59) に初期値を与えておき

More information

訂正 _ 第 1 回 1 Arduino Arduino を用意する 入力 アナログ Analog 入出力 任意の電圧を扱える 電源系 外部入力 7 12V デジタル digital 入出力 リセットボタン Low High いわゆる 01 が入出力される 通常は Low 0V GND High 5

訂正 _ 第 1 回 1 Arduino Arduino を用意する 入力 アナログ Analog 入出力 任意の電圧を扱える 電源系 外部入力 7 12V デジタル digital 入出力 リセットボタン Low High いわゆる 01 が入出力される 通常は Low 0V GND High 5 訂正 _ 第 1 回 1 Arduino Arduino を用意する 入力 アナログ Analog 入出力 任意の電圧を扱える 電源系 外部入力 7 12V デジタル digital 入出力 リセットボタン Low High いわゆる 01 が入出力される 通常は Low 0V GND High 5V となる アナログピンは入力のみでした 申し訳ない 第3回 Arduino 入門 シリアル通信 パソコン通信編

More information

slide5.pptx

slide5.pptx ソフトウェア工学入門 第 5 回コマンド作成 1 head コマンド作成 1 早速ですが 次のプログラムを head.c という名前で作成してください #include #include static void do_head(file *f, long nlines); int main(int argc, char *argv[]) { if (argc!=

More information

1 1 Arduino とは Arduino アルドゥイーノ は ワンボードマイコンの一種で オープンソースハードウェアであ り 組み立て済みの基板を購入することもできるほか 誰でも自分の手で Arduino を組み立てる ことができます USBコネクタでPCと接続して利用します デジタルポートとア

1 1 Arduino とは Arduino アルドゥイーノ は ワンボードマイコンの一種で オープンソースハードウェアであ り 組み立て済みの基板を購入することもできるほか 誰でも自分の手で Arduino を組み立てる ことができます USBコネクタでPCと接続して利用します デジタルポートとア 1 1 Arduino とは Arduino アルドゥイーノ は ワンボードマイコンの一種で オープンソースハードウェアであ り 組み立て済みの基板を購入することもできるほか 誰でも自分の手で Arduino を組み立てる ことができます USBコネクタでPCと接続して利用します デジタルポートとアナログポートを利用し 様々 なセンサーを接続したり デジタルポートの出力により モーターや各種機器をコントロールする

More information

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ

2015/04/01 改定 オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカ オムロン DeviceNet ユニット CJ1W-DRM21 に関するコンフィグレーション作業について 1. 概要 DeviceNet ユニット CJ1W-DRM21 を装着したオムロン製 CJ2 シリーズと WAGO-I/0-SYSTEM DeviceNet 対応バスカプラ 750-306 を使ったリモート I/O システムとの接続に関するコンフィグレーション方法について説明いたします 2. システム構成本書で用いるシステム構成例の内容を以下の表に示します

More information

2006年10月5日(木)実施

2006年10月5日(木)実施 2010 年 7 月 2 日 ( 金 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

Microsoft Word - Training10_プリプロセッサ.docx

Microsoft Word - Training10_プリプロセッサ.docx Training 10 プリプロセッサ 株式会社イーシーエス出版事業推進委員会 1 Lesson1 マクロ置換 Point マクロ置換を理解しよう!! マクロ置換の機能により 文字列の置き換えをすることが出来ます プログラムの可読性と保守性 ( メンテナンス性 ) を高めることができるため よく用いられます マクロ置換で値を定義しておけば マクロの値を変更するだけで 同じマクロを使用したすべての箇所が変更ができるので便利です

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 フルカラーシリアル LED テープ (1m) を GR-KURUMI で使ってみる 2014/2/25 がじぇっとるねさす鈴木 Rev. 1.00 フルカラーシリアル LED の特徴 http://www.switch-science.com/catalog/1399/ 3570 円 1m で 60 個の LED がついている 電源と信号線 1 本で制御する x 24 この信号を 24 個送信して

More information

Prog1_12th

Prog1_12th 2013 年 7 月 4 日 ( 木 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

<4D F736F F D B D A778F4B835A EC B290882E646F63>

<4D F736F F D B D A778F4B835A EC B290882E646F63> RL78 マイコン学習学習セットマニュアル実用編 第 1 版 2015.4.23 第 1 版 製品概要 本マニュアルは RL78/I1A R5F107DE(38 ピン ) マイコンを使ったマイコン学習セッ トの添付 CD のサンプルプログラムの動作について解説されています 実用編では入門編で見につけた知識を元に 実用になる機器のプログラムの書き方 ハードウエアの扱 い方をサンプルプログラムから重点的に学習します

More information

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL ブート領域 フラッシュ領域の分割方法 RL78 ファミリ用 C コンパイラ CC-RL 2016 年 10 月 5 日 Rev.2.00 ソフトウエア事業部 ソフトウエア技術部 ルネサスシステムデザイン株式会社 R20UT3475JJ0200 アジェンダ はじめにページ 3 概要ページ 4 ブート領域 フラッシュ領域共通ページ 12 ブート領域ページ 19 フラッシュ領域ページ 38 デバッグツールページ

More information

Microsoft PowerPoint - kougi2.ppt

Microsoft PowerPoint - kougi2.ppt C プログラミング演習 第 2 回 Microsoft Visual Studio.NET を使ってみよう 説明 例題 1. プログラム実行の体験 コンピュータを役に立つ道具として実感する 次ページのプログラムを使って, Microsoft Visual Studio.NETでの C++ ソースファイル編集, ビルド, テスト実行の一連の過程を体験する 例題 1 のプログラムの機能 計算の繰り返し

More information

HDLトレーナーサンプルプログラム説明書

HDLトレーナーサンプルプログラム説明書 H8-BASE2 拡張キット説明書 June 10,2007 株式会社ソリトンウェーブ 目次 本製品の付属品について...3 本製品に付属するサンプルプログラムについて...4 サンプルソースの説明...5 1.TimerATest...5 2.LcdTest...5 3.AdcTest...5 4.AdcTest2...5 5.ComTest...5 6.PS2Test...6 7.FanTest...6

More information

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です ACTIVE TOUCH 拡張部品取扱説明書 - 共有メモリアクセスコンポーネント - 1. はじめに 1 (1) 概要... 1 (2) INpMac のインストール... 1 2. Windows アプリケーションとの連携 2 (1) コントロール ( 部品 ) の登録... 2 (2) データの関連付け... 3 3. INtime アプリケーションとの連携 4 (1) 部品 ( コンポーネント

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

M4Gグループ(1)_CEC-A

M4Gグループ(1)_CEC-A アプリケーションノート CEC 制御回路 (CEC-A) 概要 このアプリケーションノートは を使用して CEC 制御回路 (CEC) 機能を用いる製品を開発する際 参考となる資料です 動作確認用またはプログラム開発の参考用にご利用願います 対象サンプルプログラム : CEC_CH_TO_CH 2018-08-29 1 / 18 2018 Toshiba Electronic Devices & Storage

More information

AP-RZA-1A シリアルFlashROMの書き込み方法

AP-RZA-1A シリアルFlashROMの書き込み方法 AP-RZA-1A (RZ/A1H CPU BOARD) シリアル FlashROM の書き込み方法 1 版 2015 年 11 月 9 日 1. 概要... 2 1.1 概要... 2 1.2 動作環境ついて... 2 1.3 書き込み手順の概要... 3 2. 準備... 4 2.1 ソフトウェアのダウンロード... 4 3. シリアル FLASHROM の書き込み方法... 5 3.1 microsd

More information

Microsoft Word - Cubesuite+_V850_AM.doc

Microsoft Word - Cubesuite+_V850_AM.doc TK-850 シリーズ CubeSuite+ 対応追加マニュアル テセラ テクノロジー株式会社 Rev :1.0 2011/7/12-1 - 目次 1 本書の概要...3 2 PC 動作環境の説明...4 3 USB ドライバーダウンロードとインストール...6 4 CubeSuite+ プロジェクトへの変換... 11 5 設定の確認... 13 6 ビルド デバッガ起動... 14 7 V850

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー SLCONFIG の操作 2011.03.02 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストール 設定 Soliloc-10G Slconfig の開発 提供ならびに本書を作成するに当たり情報提供を頂いた

More information

情報処理演習 B8クラス

情報処理演習 B8クラス 予定スケジュール ( 全 15 回 ) 1 1. 終了 プログラミング言語の基礎 2. 終了 演算と型 3. 終了 プログラムの流れの分岐 (if 文,switch 文など ) 4. 終了 プログラムの流れの繰返し (do, while, for 文など ) 5. 終了 中間レポート1 6. 終了 配列 7. 終了 関数 8. 終了 文字列 ( 文字列の配列, 文字列の操作 ) 9. 終了 ポインタ

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

< D A0>

< D A0> #include #include ".h" * マスターモード専用 I2C 関数 教育 ホビー用 * 営利目的 商用への利用は禁止 * 詳しいタイミングは NXP の資料参照のこと 関数の説明 * ストップ状態にします : クロックをHにしてデータをL->Hします _stop() SDA_LOW(); 初めはデータを L 確認 SCL_HIGH(); SCL を H にする //

More information

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例 MODBUS RTU 通信時の配線例 ( 例 )FPΣ と弊社製温調器 KT シリーズ通信します マスタとして使用する FPΣ の MODBUS マスタ機能を使用し スレーブの KT シリーズのデータを読み出し 書き込みを行います マスタ データ書き込み スレーブ データ読み出し RS485 FPΣ の通信カセットは COM3 カセット (FPG-COM3) もしくは COM4 カセット (FPG-COM4)

More information

Microsoft Word - T-AD16P.doc

Microsoft Word - T-AD16P.doc T-AD16P rev.1.0 取扱説明書 PC/104 バスシリーズ 16ビットADコンバータ差動 8ch シングルエンド16ch 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

Singapore Contec Pte Ltd. Opening Ceremony

Singapore Contec Pte Ltd. Opening Ceremony M2M/IoT ソリューション CONPROSYS FIT プロトコル通信サンプルタスク 2018 年 03 月 06 日株式会社コンテック 1 必要機材 本サンプルを利用するに当り 最低限必要な機材を以下に示します 動作確認や信号状況を変化させるためのスイッチ センサ類は適宜ご用意下さい 品名 型式 必要数 メーカー M2Mコントローラ CPS-MC341-ADSC1-111 2 CONTEC ノートPC

More information

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP SiTCP ライブラリ 第 1.1 版 2012 年 10 月 24 日 内田智久 Electronics system group, IPNS, KEK 1 / 12 履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/24 1.1 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です

More information

演算増幅器

演算増幅器 ファイルこれまでにデータの入力方法として キーボードからの入力を用いてきた 構造体を習った際に実感してもらえたと思うが 入力データ量が多いときにはその作業は大変なものとなり 入力するデータを間違えた場合には最初からやり直しになる そこで今回はこれらの問題を解決するため あらかじめ入力データをテキストエディタなどで編集し ファイルとして保存したものを入力データとして用いる方法を習っていく さらにプログラムで作成したデータをファイルに出力する方法も併せて習っていく

More information

Microsoft Word - CAN Monitor の使い方.doc

Microsoft Word - CAN Monitor の使い方.doc CAN Monitor の使い方 1. 仕様項目内容ポート数 1ch 対応速度 125kbps,250kbps,500kbps,1Mbps ログ記録 CSV フォーマットで時系列に記録時間は msec 単位 H/W mailbox 受信 :16ch 送信 :8ch 受信フィルタチャネル毎にデータ ID および MASK 機能設定可能 Bit Timing 調整可能 サンプリングポイントを約 30%~

More information

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt 技術資料 お客様用 1/13 発行 電子 13-06 発行月 2013 年 10 月 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00 設定方法 1 PC アプリ SAVER CAST for EneMeter Ver3.00 ダウンロード 2 通信設定アプリ Config Tool Ver1.00 ダウンロード 3 パソコンへのセットアップ 4 設定方法について説明します

More information

数はファイル内のどの関数からでも参照できるので便利ではありますが 変数の衝突が起こったり ファイル内のどこで値が書き換えられたかわかりづらくなったりなどの欠点があります 複数の関数で変数を共有する時は出来るだけ引数を使うようにし グローバル変数は プログラムの全体の状態を表すものなど最低限のものに留

数はファイル内のどの関数からでも参照できるので便利ではありますが 変数の衝突が起こったり ファイル内のどこで値が書き換えられたかわかりづらくなったりなどの欠点があります 複数の関数で変数を共有する時は出来るだけ引数を使うようにし グローバル変数は プログラムの全体の状態を表すものなど最低限のものに留 第 10 章分割コンパイル 1 ソースを分割する今まで出てきたソースは全て一つのソースファイルにソースを記述してきました しかし ソースが長くなっていくと全てを一つのファイルに書くと読みづらくなります そこで ソースを複数のファイルに分割してコンパイルを行う分割コンパイルをします 今章は章名にもなっている 分割コンパイルの方法についてやります 分割コンパイルする時は大抵 関連性のある機能ごとにファイルにまとめます

More information

目 次 1. All-In-One プリンタユーティリティ概要 All-In-One プリンタユーティティについて 対応プリンタ機種 システム要件 セットアップ方法 All-In-One プリンタユーティリテ

目 次 1. All-In-One プリンタユーティリティ概要 All-In-One プリンタユーティティについて 対応プリンタ機種 システム要件 セットアップ方法 All-In-One プリンタユーティリテ SATO BARCODE PRINTER All-In-One Tool 簡易説明書 (PW208,CL4NX-J,CL6NX-J) 2015 年 8 月 24 日第 3 版 株式会社サトー 目 次 1. All-In-One プリンタユーティリティ概要... 2 1.1. All-In-One プリンタユーティティについて... 2 1.2. 対応プリンタ機種... 2 1.3. システム要件...

More information

Microsoft PowerPoint - 計算機言語 第7回.ppt

Microsoft PowerPoint - 計算機言語 第7回.ppt 計算機言語第 7 回 長宗高樹 目的 関数について理解する. 入力 X 関数 f 出力 Y Y=f(X) 関数の例 関数の型 #include int tasu(int a, int b); main(void) int x1, x2, y; x1 = 2; x2 = 3; y = tasu(x1,x2); 実引数 printf( %d + %d = %d, x1, x2, y);

More information

SiTCP ユーティリティユーザガイド 2014 年 6 月 18 日 0.73 版 Bee Beans Technologies 1

SiTCP ユーティリティユーザガイド 2014 年 6 月 18 日 0.73 版 Bee Beans Technologies 1 SiTCP ユーティリティユーザガイド 2014 年 6 月 18 日 0.73 版 Bee Beans Technologies 1 改版履歴 版数 日付 内容 備考 0.1 2013 年 04 月 04 日 ドラフト作成 0.11 2013 年 04 月 10 日 UI 等の微調整に対応 0.2 2013 年 04 月 24 日 サーバー機能追加 0.3 2013 年 06 月 18 日 各 OS

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

第 1 章 : はじめに RogueWave Visualization for C++ の Views5.7 に付属している Views Studio を使い 簡単な GUI アプリケーションの開発手順を紹介します この文書では Windows 8 x64 上で Visual Studio2010

第 1 章 : はじめに RogueWave Visualization for C++ の Views5.7 に付属している Views Studio を使い 簡単な GUI アプリケーションの開発手順を紹介します この文書では Windows 8 x64 上で Visual Studio2010 RW View Studio Getting Started (1) : 簡単な GUI アプリケーションを作成する 目次 第 1 章はじめに...1 1.1 アプリケーションの概要... 1 1.2 Views Studio とは... 2 第 2 章 Views Studio を起動する...3 2.1 起動画面 ( メインウィンドウ ) の説明... 4 2.2 ガジェットエクステンション...

More information

Arduinoで計る,測る,量る

Arduinoで計る,測る,量る [ 第 4 章 ] 決められた入出力ポートだが逆に使いやすいアナログ入出力もスケッチが用意されていて使い方は簡単 本章では,Arduino のアナログ入力として, センサからの出力の代わりにボリュームを用いて, 0V から電源電圧まで変化する電圧を読み取り, この変化した電圧に対応した出力を LED に加えてアナログ入出力のテストを行います. 続いて, アナログ入力の具体例として温度の測定を行います.

More information

Microsoft Word - ExtFlashROM.doc

Microsoft Word - ExtFlashROM.doc ROM レス品にて 外部 FlashROM 品種を追加する場合の説明 対象 CPU Rev1.01 DEF バージョン 10.10A 仕様より 1.ROM レス品種が対象になります (H8SX/1651 SH7264 等 ) 機能 1.H8SX/1651 は サンプルで TC58FVM5T の対応ソフトを用意してあります ( ルネサス C のみ対応 ) 2.SH7264 は サンプルで AT49BV320D

More information

Microsoft Word - T-ADA16S.doc

Microsoft Word - T-ADA16S.doc T-ADA16S rev.1.0 PC/104 バスシリーズ 12ビットAD 12ビットDA 絶縁 IO 取扱説明書 各商品は 各社の商標 登録商標です ( 株 ) ティーエーシー各種制御用マイクロコンピュータ設計 製作 販売 この製品の外観及び仕様は品質改善のため 予告無く変更することがありますのでご了承下さい 600-8896 京都市下京区西七条西石ヶ坪町 66 電話 :075-311-7307

More information

Taro-ファイル処理(公開版).jtd

Taro-ファイル処理(公開版).jtd ファイル処理 0. 目次 1. はじめに 2. ファイル内容の表示 3. ファイル内容の複写 3. 1 文字単位 3. 2 行単位 4. 書式付き入出力 5. 文字配列への入出力 6. 課題 6. 1 課題 1 ( ファイル圧縮 復元 ) - 1 - 1. はじめに ファイル処理プログラムの形は次のようになる #include main() { FILE *fp1,*fp2; ファイルポインタの宣言

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */ /*=============================================================*/ /* インクルードファイル */ /*=============================================================*/ #include #include "../../common/iodefine.h"

More information

複数のシリアルデバイスを使う場合 rs232cj2 関数で複数のシリアルデバイスを使う場合には, 関数をコピーし, リネームすれば, 理論上いくつのシリアルデバイスでも使うことができる 例えば, rs232cj2_forsick.mexw64 rs232cj2_forhokuyo.mexw64 のよ

複数のシリアルデバイスを使う場合 rs232cj2 関数で複数のシリアルデバイスを使う場合には, 関数をコピーし, リネームすれば, 理論上いくつのシリアルデバイスでも使うことができる 例えば, rs232cj2_forsick.mexw64 rs232cj2_forhokuyo.mexw64 のよ MATLAB で, 北陽電機社製のレーザーレーダのデータを取り込むには? MATLAB は,Java をサポートしているため,Java の関数を使えば, 一応, データの取り込みは可能ではあるが, 取り込み速度が遅い また, 失敗した場合, 不安定になり易いなど, 実用的ではない そのため, ここでは, 法政大学小林一行研究室で作成した,rs232cj2 ドライバを紹介し, その具体例として, 北陽電機社製のレーザーレーダの取り込みスクリプト例を示す

More information

MPL115A

MPL115A MPL115A2(I2C を用いた絶対圧力計 ) のデータ取り込み ストロベリーリナックスなどを通して, 購入可能な,I2C 通信で行う絶対圧力センサ MPL115A2 と PSoC を用い,RS232 で出力するようにプログラムをする PSoC には,P16 に Rx,P27 に Tx を接続し, シリアル通信できるようにした MPL115A2 との配線は次のようにする MPL115A2 PSoC

More information

UIOUSBCOM.DLLコマンドリファレンス

UIOUSBCOM.DLLコマンドリファレンス UIOUSBCOM.DLL UIOUSBCOM.DLL Command Reference Rev A.1.0 2008/11/24 オールブルーシステム (All Blue System) ウェブページ : www.allbluesystem.com コンタクト :contact@allbluesystem.com 1 このマニュアルについて...3 1.1 著作権および登録商標...3 1.2

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします

8ビットデータバスでアクセスする場合は、16ビットレジスタを上位バイト、下位バイトに分けてアクセスします はじめにこのたびは 弊社 T-IADA168 をお買い上げ頂きましてまことにありがとうございます このマニュアルは T-IADA168 の概要等について説明しています 各 LSI についての詳細は必要に応じてデータシートを参照してください ハードウエアの不具合に関しましてのサポートはいたしますが RTOS を含めたソフト面のサポートは基本的にはしておりません 注意事項 (1) 本書の内容に関しては将来予告なしに変更することがあります

More information

オートビュー

オートビュー IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0 2013/04/18 株式会社 Javatel 2013 Javatel 1 目次 IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0... 1 目次... 2 1 この文書について... 3 2 変更履歴... 4 3 ハードウェアの準備... 5 3.1 SELCO マルチプレクサ

More information

C言語講座 ~ファイル入出力編~

C言語講座 ~ファイル入出力編~ C 言語講座 ~ ファイル入出力編 ~ ポインタ使います 例 2 練習問題 3 初めに プログラムを組む上での話と今回の演習について 例えばこの画面の場合 背景の動画の描写 ノーツを流れてくるようにする ボタンを押したら違反亭ライン近くのノーツは消えるようにする処理 曲の難易度ごとに判定を追加とか残りの処理 完成 演習 1 クリア 演習 2 クリア 過程は各自で考えてください 演習 3 クリア ファイルの出入力でこれからやること

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

iCLR

iCLR RF24N1D-05-TK 無線モジュール簡易評価キット 取扱説明書 第 1.02 版 2014 年 05 月 01 日 株式会社 TOUA 1/10 目次 1. はじめに... 3 1.1. 無線モジュール簡易評価キットの概要... 3 1.2. 免責事項... 3 2. 無線モジュール簡易評価キットの構成... 3 3. 評価ボードの説明... 4 4. 事前準備... 5 5. 評価キットの実機動作...

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 05 関数 (1) 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 関数とは p.162 数学的には入力に対して出力が決まるもの C 言語では入出力が定まったひとまとまりの処理 入力や出力はあるときもないときもある main() も関数の一種 何かの仕事をこなしてくれる魔法のブラックボックス 例 : printf() 関数中で行われている処理の詳細を使う側は知らないが,

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

目次 コード生成概要ページ 03 汎用アンプを使ったデモ概要ページ 05 CS+ でプロジェクト作成ページ 07 コード生成で周辺機能設定ページ 09 ソースコードを自動生成ページ 19 プログラム編集ページ 20 デバッグツールの設定ページ 26 プログラムの実行ページ 28 e 2 studio

目次 コード生成概要ページ 03 汎用アンプを使ったデモ概要ページ 05 CS+ でプロジェクト作成ページ 07 コード生成で周辺機能設定ページ 09 ソースコードを自動生成ページ 19 プログラム編集ページ 20 デバッグツールの設定ページ 26 プログラムの実行ページ 28 e 2 studio RL78/I1E コード生成 RL78/I1E + 脈拍センサデモコンフィギュラブル アンプ使用例 文書番号 R20UT3745JJ0110 ブロードベースソリューション事業部ソフトウェア技術部 ルネサスエレクトロニクス株式会社 コード生成 はクリック 1 つで かんたん マイコン初期設定 開発工数を大幅削減する無償ツール CS+, e 2 studio 向けにプラグインを提供中 2018.06.04

More information

memo

memo 数理情報工学演習第一 C プログラミング演習 ( 第 5 回 ) 2015/05/11 DEPARTMENT OF MATHEMATICAL INFORMATICS 1 今日の内容 : プロトタイプ宣言 ヘッダーファイル, プログラムの分割 課題 : 疎行列 2 プロトタイプ宣言 3 C 言語では, 関数や変数は使用する前 ( ソースの上のほう ) に定義されている必要がある. double sub(int

More information

CS-DRC1操作説明書

CS-DRC1操作説明書 操作説明書 プログラミングソフトウェア Windows 用 CS-DRC1 Rev. 1.0 IC-DRC1 デジタル小電力コミュニティ無線機 ご注意 : 設定内容の変更についてプログラミングソフトウェア (CS-DRC1) を起動したときの初期設定と無線機 (IC-DRC1) の設定値は 異なる場合があります 無線機の設定を変更する場合は 下記の手順 (1~3) で操作することをおすすめします 1

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し

実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3 ずつインクリメントします MPLAB を使って MPASM アセンブラのソース ファイルをアセンブルします MPLAB とソース ファイルは配布し エンベデッド コントロール セミナー 2000 2000 Microchip Technology Incorporated. All Rights Reserved. S9002A Embedded Control Seminar 2000 1 実習内容 PIC18C242 のポート B に接続した LED を点滅させます ( 他の実習と同じ内容です ) 8 個の LED に表示される値が +3

More information