クラウドでアクセラレーテッドコンピューティング!GPU と FPGA を駆使してアプリケーションを高速化

Size: px
Start display at page:

Download "クラウドでアクセラレーテッドコンピューティング!GPU と FPGA を駆使してアプリケーションを高速化"

Transcription

1 クラウドでアクセラレーテッドコンピューティング! GPU と FPGA を駆使してアプリケーションを高速化 2017/06/01 アマゾンウェブサービスジャパン株式会社ソリューションアーキテクト松尾康博 2017, Amazon Web Services, Inc. or its Affiliates. All rights reserved.

2 本セッションの内容 お話すること EC2 を十分に知っていて基本的なチューニングも実施済だがアプリケーションをさらに高速化するために H/W アクセラレータを活用する方法 お話しないこと EC2 の基本 EC2 チューニングの話は省きます 以下のセッションも合わせて聴講ください 5/31 18:20 Amazon EC2 入門 ( 再演 ) 6/2 14:20 Amazon EC2 Innovation at Scale 6/2 16:20 Amazon EC2 Performance Deep Dive

3 Agenda アクセラレーテッドコンピューティングとは GPUインスタンス FPGAインスタンス クラウドならではの活用方法

4 アプリケーションを高速化すること 処理サイクルを高速化 H/W のクロック周波数を引き上げる アルゴリズムを改善する 例 :O(n 2 ) O(log n) I/O を改善する ディスク I/O, メモリ I/O, キャッシュ 並列化可能な処理を並列化する

5 並列化 (Parallelism) とは 並列化はコンピュータにおいて 同時に複数の演算処理を実行することによって処理のスループットを上げるプログラミング手法である並列化 - Wikipedia

6 並列化とは 並列化不可能な処理 並列化可能な処理 並列化不可能な処理 並列化不可能な処理 並列化不可能な処理 様々な並列化プログラミング手法が存在並列化による高速化の限界についてはアムダールの法則で説明されている

7 並列化可能な処理の例 for (i = 0; i++; i < n) { for (j = 0; j++; j < n) { } } W[i][j] = xxxxxxxx

8 並列化の実行方法 並列クラスタによる並列分散処理 MPI(Message Passing Interface) CPU マルチコア並列 OpenMP マルチスレッドプログラミング ハードウェアアクセラレーター GPU FPGA SSE, AVX

9 アクセラレーテッドコンピューティングとは? Parallelism increases throughput CPU: 高速 汎用 低スループット GPU/FPGA: 高スループット 高効率 専用 特定のカテゴリの計算処理で GPU や FPGA を使った並列化により高スループットと高効率化を行う

10 ハードウェアアクセラレータによる高速化 application コンピュートインテンシブで並列化可能な計算をハードウェアアクセラレーター上で処理 それ以外は CPU で処理 for (i=0;i<n;i++) { for (j=0;j<m;j++) { } }

11 Block RAM Block RAM GPU と FPGA の並列処理 GPU は同じ処理を並列に実行するSIMD (Single Instruction Multiple Data) に向いています 優れた命令セットに対して固定長ワード ( 単精度 倍精度 半精度 整数 ) を扱えます DRAM DRAM Control ALU ALU Cache ALU ALU P2 の各 GPU は 2880 コア F1 の各 FPGA は 200 万以上のセルを持ちます DRAM CPU (one core) DRAM GPU DRAM FPGA DRAM FPGA は SIMD に加えて MIMD( Multiple Instructions, Multiple Data) も実行可能 FPGA には規定の命令セットや固定長ワードはありません

12 高速計算のユースケース Clustered (Tightly Coupled) Data Light Minimal requirements for high performance storage Fluid dynamics Weather forecasting Materials simulations Crash simulations Risk simulations Molecular modeling Contextual search Logistics simulations Seismic processing Metagenomics Astrophysics Deep learning Animation and VFX Semiconductor verification Image processing/gis Genomics Data Heavy Benefits from access to high performance storage Distributed/Grid (Loosely Coupled)

13 アクセラレータが有効な高速計算のユースケース Clustered (Tightly Coupled) Data Light Minimal requirements for high performance storage Fluid dynamics Weather forecasting Materials simulations Crash simulations Risk simulations Molecular modeling Contextual search Logistics simulations Seismic processing Metagenomics Astrophysics Deep learning Animation and VFX Semiconductor verification Image processing/gis Genomics Data Heavy Benefits from access to high performance storage Distributed/Grid (Loosely Coupled)

14 EC2 コンピュートインスタンスタイプ 汎用 コンピュート最適 ストレージ I/O 最適 メモリ最適 GPU /FPGA アクセラレーテッド T2 M4 C5 Announced I3 D2 X1 F M3 C4 R4 P C3 G R3 CG1 2010

15 GPU インスタンスと FPGA インスタンス NVIDIA Tesla GPU Card Xilinx UltraScale+ FPGA P2/G2: GPU-accelerated computing 各 GPU の数千 CUDA コアによる高並列計算 豊富な API 群 (CUDA, OpenACC, OpenCL) 多くの ISV 製品や OSS が対応 F1: FPGA-accelerated computing 各 FPGA には数百万のロジックセルを搭載 規定の命令セットがなく自由に実装可能 クラウドベースの FPGA 開発ツールを用意

16 GPU Acceleration コンピュートとグラフィックス

17 GPU インスタンスの変遷 Compute CG1 P2 NVIDIA Tesla M2050 NVIDIA Tesla K80 NVIDIA Volta V100 Graphics G2 EG NVIDIA Kepler Elastic GPU

18 P2 GPU Instances P2 1 インスタンスに最大 16 個の Tesla K80 を GPU 搭載 peer-to-peer PCIe GPU インターコネクトを搭載 Deep Learning, HPC シミュレーション バッチレンダリングなどの様々な用途に利用可能 5/26 に東京リージョンで利用可能に! Instance Size GPUs GPU Peer to Peer vcpus Memory (GiB) Network Bandwidth* p2.xlarge High p2.8xlarge 8 Y Gbps p2.16xlarge 16 Y Gbps *In a placement group

19 AWS Deep Learning AMI

20 Deep Learning on GPU P2 GPU インスタンスで DL 学習と推論において高速な性能を実現 P2 インスタンスでの MXNet 学習処理 : 画像解析アルゴリズム Inception v3 を MXNet で実装し P2 で実行した結果 P2.16xlarge 一台で 16GPU まで並列に実行した場合 91% の実行効率で性能向上

21 Deep Learning on GPU P2 GPU インスタンスで DL 学習と推論において高速な性能を実現 P2 インスタンスでの MXNet 学習処理 : 画像解析アルゴリズム Inception v3 を MXNet で実装し P2 で実行した結果 P2.16xlarge を 16 台で構成したクラスター計 256GPU まで並列に実行した場合 85% の実行効率で性能向上

22 VOLTA 世代 GPU 搭載インスタンス GTC2017 で発表された Volta 世代の Tesla V100 を備えたインスタンスを提供することを発表

23 P2 Tips 基本的な OS チューニングは必ず実施する Placement Group, 拡張ネットワーキングを利用する NVIDIA Driver 最新版を使う ( 以降 ) GPU の周波数を最大化固定する # GPU 設定を永続化する $ sudo nvidia-smi -pm 1 # Auto Boost を無効化 $ sudo nvidia-smi --auto-boost-default=0 # GPU クロック最大化 $ sudo nvidia-smi -ac 2505,875

24 Accelerated Graphics on AWS

25 安全にコラボレーションを可能に リモートビジュアライゼーション リモートデスクトップを クラウド GPU で実現

26 医療画像レンダリングもクラウド側で

27 G2 インスタンス G2 最大 8 個の K520 GPU を 1 台のインスタンスに搭載 リモートビジュアライゼーション向け Deep Learning, HPC シミュレーション バッチレンダリングなどの GPGPU も可能 Instance Size GPUs vcpus Memory (GiB) Network Bandwidth* g2.2xlarge High g2.8xlarge Gbps *In a placement group

28 EC2 + Elastic GPU = グラフィック性能を柔軟に t2 c4 m4 r4 : : Small GPU : : Large GPU Elastic GPU を通常のインスタンスにアタッチすることで GPU を利用可能に

29 Elastic GPU アーキテクチャ コンピュート + グラッフィク命令 グラフィック命令 画像 グフラフィック命令 画像 インスタンス Elastic GPU

30 Elastic GPU の重要な機能 お客様の使いたいインスタンスタイプ サイズに柔軟にグラフィック性能を付加 お客様の必要とするグラフィック性能を選択可能

31 FPGA アクセラレーション

32 アクセラレーテッドコンピューティング用 FPGA 並列の処理を処理するためのデバイス Data Data Data Task Task Task Task Task Task Data Task Task Task Task Task Data

33 Anyto-any コンピューティングを大幅に加速する Xilinx FPGA 強力なパラレルコンピューティング 万のプログラマブル ロジックセルと 6,840 個のプログラマブル DSP により大量の計算を並列 高速に実行 Kernel X1 Kernel X2... Kernel Xn レイテンシーを最小に - 大容量オンチップメモリ (SRAM 約 340M ビット ) 搭載により レイテンシー増大の要因となる外部メモリアクセスを削減 Kernel B1 Kernel A1 Kernel B2 Kernel A Kernel Bn Kernel An LUTRAM LUTRAM LUTRAM LUTRAM LUTRAM LUTRAM LUTRAM Anyto-any Anyto-any フレキシブル - 目的に最適な演算ユニットおよびデータパスをプログラマブルハードウェアで構成 BRAM BRAM BRAM BRAM BRAM UltraRAM UltraRAM スケーラブル - 効率 信頼性の高い高速インターフェースでデバイス間を接続し スケーラブルな処理能力の提供を実現 Global Memory

34 F1 FPGA インスタンス F1 最大 8 個の Xilinx Virtex UltraScale Plus VU9p FPGA とを 1 台のインスタンスに搭載 in a single instance with four high-speed DDR-4 per FPGA 最大サイズのインスタンスでは FPGA Direct と FPGA Link で各 FPGA 間をインターコネクト 金融計算 ゲノム 検索 画像処理をハードウェアアクセラレーション可能 Instance Size FPGAs FPGA Link FPGA Direct vcpus Memory (GiB) NVMe Instance Storage Network Bandwidth* f1.2xlarge x Gbps f1.16xlarge 8 Y Y x Gbps *In a placement group

35 PCIe Block RAM Block RAM FPGA Link 抽象化した FPGA I/O DDR-4 DDR-4 AWS FPGA Shell 事前に定義されテストされたセキュアな I/O コンポーネントを提供 開発者はロジックの開発に専念可能 DDR-4 DDR-4

36 F1 を使った FPGA アクセラレーション EC2 F1 Instance 1 台の F1 インスタンスには複数の AFI を保持可能 Amazon Machine Image (AMI) F1 インスタンス起動と AFI のロード Amazon FPGA Image (AFI) 数秒で AFI を FPGA にロード CPU Application on F1 PCIe FPGA Direct DDR Controllers FPGA Link DDR-4 Attached DDR-4 Memory Attached DDR-4 Memory Attached DDR-4 Memory Attached Memory

37 F1 用アプリケーション開発から利用まで C4 や M4 上で Xilinx Vivado を使って FPGA ロジック設計を行う AWS FPGA Hardware Development Kit (HDK) C4 や M4 上で Xilinx Vivado を使って FPGA の配置 配線を行う Amazon FPGA Developer AMI C4/M4インスタンス Amazon FPGA Image (AFI) を作成 F1 インスタンスに AFI をデプロイしアプリケーションから利用 AFI SDK App Custom AMI F1インスタンス

38 事例 : ゲノム処理 高効率化 FPGA 大規模並列 アルゴリズムをハードウェアに実装 ゲートレベルの回路設計 命令セットのオーバーヘッド無し 超並列回路 複数のインスタンス 迅速に FPGA を再定義可能 ヒトゲノムの解析を数時間から数分に短縮インスタンスとストレージのコストを劇的に削減

39 事例 : 金融数理計算 CVA 計算などを高速化

40 F1 対応ソフトウェア : RYFT F1 に対応した Elasticsearch エンジン CPU(C4.8xlarge) に比べて 91 倍の性能向上

41 NGCODEC: F1 によるライブエンコーディング処理 H.265/HEVC ビデオエンコーディングを F1 の FPGA で F1 インスタンスへの移植は 3 週間

42 AWS Marketplace によるパートナー提供 お客様へサービス提供 AWS Marketplace Amazon Machine Image (AMI) Amazon FPGA Image (AFI) F1 インスタンスを起動

43 AWS の各種機能を組み合わせて さらなる並列化と高速化

44 AWS CloudFormation Deep Learning Framework AMI をもとにしたクラスタをすぐに起動

45 CfnCluster 一般的な HPC クラスタ構成を用意に構成できるツールセット 著名な HPC ジョブスケジューラも選択可能 Cluster head node with job scheduler Cloud-based, scaling HPC cluster on EC2 Shared File Storage

46 AWS ElasticBeanstalk で推論サービス基盤を インフラ構成の構築 アプリデプロイの自動化サービス 特徴 ( 速く簡単にアプリケーションをデプロイ可能 インフラストラクチャの準備 & 運営からアプリケーションスタックの管理まで自動化 Auto Scaling によりコストを抑えながらスケーラビリティを確保 Java, PHP, Ruby, Python, Node.js,.NET, Docker などに対応 P2, F1 に対応 価格体系 ( 追加料金なし アプリケーションの保存 実行に必要な AWS リソース (EC2, S3, RDS, DynamoDB など ) に対してのみ課金

47 Amazon EC2 Container Service (ECS) 管理された EC2 クラスタ上に コンテナを自在に配置できる Amazon ECR Elastic Load Balancing Amazon ECS 特徴 ( 管理ノード不要の 安定かつ高パフォーマンスなクラスタ管理サービス Service スケジューラで多様なロングランニングプロセスを実行する基盤に コンテナを必要な台数稼働させる ELB 連携で デプロイも簡単に Run Task でバッチジョブを実行する基盤に どこかの EC2 でコンテナを起動して処理させる 価格体系 ( 無料 利用する EC2, EBS, ELB などの料金のみ発生

48 AWS Batch によるバッチ処理管理 フルマネージド型のバッチ処理実行サービス 処理を依頼 スケジュール実行 AWS Batch で管理 特徴 ( 多量のバッチジョブ実行をクラスタの管理を行う事なしに容易に実現できるジョブとして登録したアプリケーションやコンテナイメージをスケジューラが実行利用するインスタンスタイプや数 スポット利用有無など Compute Environment として任意に指定可能価格体系 ( AWS Batch 自体の利用料金は無料 EC2 インスタンスやストレージなど使用したリソースに対して課金される

49 まとめ GPU や FPGA を搭載したアクセラレーテッドインスタンスを利用して 並列化可能な処理を高速化 他サービスと組み合わせ よりスケーラブルな環境を容易に構築可能

50 Thank You!

PowerPoint Presentation

PowerPoint Presentation グローバルバンクにおける最新クラウド活用事例 AWS で実現する ハイパフォーマンスコンピューティング Pawan Agnihotri Global Financial Services Solutions Architect 2017, Amazon Web Services, Inc. or its Affiliates. All rights reserved. 金融サービスにおけるリスク管理

More information

AWS のコンテナ管理入門(Amazon EC2 Conatainer Service)

AWS のコンテナ管理入門(Amazon EC2 Conatainer Service) AWS のコンテナ管理 (Amazon EC2 Container Service) アマゾンウェブサービスジャパン株式会社ソリューションアーキテクト川村誠 2017 年 6 1 2017, Amazon Web Services, Inc. or its Affiliates. All rights reserved. 紹介 名前 所属 川村誠 ( かわむらまこと ) アマゾンウェブサービスジャパン株式会社技術統括本部ストラテジックソリューション部ソリューションアーキテクト

More information

よくある問題を解決する~ 5 分でそのままつかえるソリューション by AWS ソリューションズビルダチーム

よくある問題を解決する~ 5 分でそのままつかえるソリューション by AWS ソリューションズビルダチーム すぐに利用できる状態のソリューションを使って一般的な問題を 5 分以内に解決 Steve Morad Senior Manager, Solutions Builder Team AWS Solution Architecture May 31, 2017 2017, Amazon Web Services, Inc. or its Affiliates. All rights reserved.

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 計算機アーキテクチャ第 11 回 マルチプロセッサ 本資料は授業用です 無断で転載することを禁じます 名古屋大学 大学院情報科学研究科 准教授加藤真平 デスクトップ ジョブレベル並列性 スーパーコンピュータ 並列処理プログラム プログラムの並列化 for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } プログラムの並列化 x[0] = a[0] + b[0];

More information

Amazon EC2 Innovation at Scale - 革新を続けるハイパースケール EC2 サービスの最新情報を EC2 サービス責任者がご紹介

Amazon EC2 Innovation at Scale - 革新を続けるハイパースケール EC2 サービスの最新情報を EC2 サービス責任者がご紹介 EC2 Innovation at Scale Raj Pai, Director of Product Management, EC2 June 2, 2017 2017, Amazon Web Services, Inc. or its Affiliates. All rights reserved. Amazon Elastic Compute Cloud(EC2) - クラウドの伸縮自在な仮想サーバー

More information

AWS Deck Template

AWS Deck Template AWS OpsWorks のご紹介 Amazon Data Services Japan 2013/06/25 Agenda AWS OpsWorks とは OpsWorks の特長 OpsWorks 利用の流れ OpsWorks のメリット Chef とは OpsWorks のライフサイクルイベント どのようなアプリケーションが OpsWorks に向いているのか? OpsWorks の機能詳細

More information

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~ MATLAB における並列 分散コンピューティング ~ Parallel Computing Toolbox & MATLAB Distributed Computing Server ~ MathWorks Japan Application Engineering Group Takashi Yoshida 2016 The MathWorks, Inc. 1 System Configuration

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Oracle GRID Center Flash SSD + 最新ストレージと Oracle Database で実現するデータベース統合の新しい形 2011 年 2 月 23 日日本オラクル Grid Center エンジニア岩本知博 進化し続けるストレージ関連技術 高速ストレージネットワークの多様化 低価格化 10GbE FCoE 8Gb FC ディスクドライブの多様化および大容量 / 低価格化

More information

ネットアップクラウドデータサービス

ネットアップクラウドデータサービス ネットアップクラウドデータサービス ネットアップのクラウドデータサービス IT ネットアップのクラウドデータサービスによってもたらされる効果 ネットアップのクラウド戦略 INSPIRE Innovation with the Cloud クラウドに安定性と信頼性をもたらし お客様のクラウド活用を強力に支援 ネットアップのクラウドデータサービスの主なユースケース ファイルサービス DevOps バックアップとディザスタリカバリ

More information

Presentation Title Here

Presentation Title Here AWS で実現する 次世代シミュレーション環境 2015 年 11 月 26 日 アマゾンウェブサービスジャパン株式会社 Who am I 名前 : 小川貴士 ( おがわたかし ) 所属 : アマゾンウェブサービスジャパン株式会社ソリューションアーキテクト 主な担当エリア :CAE / HPC アジェンダ AmazonとAWSについて Intel 社とのパートナーシップ HPC 分野におけるAWS

More information

3-H Amazon EC2 F1 インスタンス ~カスタムハードウェアアクセラレータを使用したアプリケーションの高速化 ~ Gadi Hutt Amazon Web Services EC2 F1 向けグローバルビジネス開発担当脇本康裕株式会社ソシオネクストエンタープライズソリューショ

3-H Amazon EC2 F1 インスタンス ~カスタムハードウェアアクセラレータを使用したアプリケーションの高速化 ~ Gadi Hutt Amazon Web Services EC2 F1 向けグローバルビジネス開発担当脇本康裕株式会社ソシオネクストエンタープライズソリューショ 3-H1-3-15 Amazon EC2 F1 インスタンス ~カスタムハードウェアアクセラレータを使用したアプリケーションの高速化 ~ Gadi Hutt Amazon Web Services EC2 F1 向けグローバルビジネス開発担当脇本康裕株式会社ソシオネクストエンタープライズソリューション事業部 アジェンダ EC2 F1 インスタンスの概要と更新内容 カスタム HW アクセラレーションの構築方法

More information

Leveraging Cloud Computing to launch Python apps

Leveraging Cloud Computing to launch Python apps (Twitter: @KenTamagawa) v 1.1 - July 21st, 2011 (Ken Tamagawa) Twitter: @KenTamagawa 2011 8 6 Japan Innovation Leaders Summit IT IT AWS 90% AWS 90% アーキテクチャ設計 Intro }7 Intro 1 2 3 4 5 6 7 Intro 1 2 3 4

More information

FUJITSU Cloud Service for OSS 「コンテナサービス」 ご紹介資料

FUJITSU Cloud Service for OSS 「コンテナサービス」 ご紹介資料 注 : 本サービスは 新規申込の受付を停止しております サービスご検討中のお客様におかれましては ご不便をおかけし申し訳ございません FUJITSU Cloud Service for OSS コンテナサービス ご紹介 2018 年 8 月富士通株式会社 本資料の無断複製 転載を禁じます 本資料は予告なく内容を変更する場合がございます Version 1.01 目次 Docker/Kubernetes

More information

PowerPoint Presentation

PowerPoint Presentation AWS AWS AWS AWS AWS AWS AWS オンプレミス データセンター AWS Storage Gateway Amazon Kinesis Firehose EFS File Sync S3 Transfer Acceleration AWS Direct Connect Amazon Macie AWS QuickSight AWS Lambda AWS CloudFormation

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Tokyo AWS Elastic Beanstalk, AWS OpsWorks, AWS CodeDeploy, AWS CloudFormation を使った自動デプロイ アマゾンデータサービスジャパン株式会社ソリューションアーキテクト舟﨑健治 Gold Sponsors Global Sponsors Silver Sponsors Bronze Sponsors Global Tech Sponsors

More information

PowerPoint Presentation

PowerPoint Presentation TE-08 実用フェーズに入った HPC クラウドの実力 松尾康博 ( matsuoy@amazon.co.jp ) アマゾンデータサービスジャパン ソリューションアーキテクト 014 Amazon.com, Inc. and its affiliates. All rights reserved. May not be copied, modified, or distributed in whole

More information

Congress Deep Dive

Congress Deep Dive Congress Deep Dive NTT 室井雅仁 2016 NTT Software Innovation Center 自己紹介 室井雅仁 ( むろいまさひと ) 所属 : NTT OpenStack を利用した OSS クラウドのアーキテクトを担当 社内向け OpenStack 環境の運用 コミュニティへフィードバック OpenStack Congress Core Reviewer https://wiki.openstack.org/wiki/congress

More information

Slide 1

Slide 1 Microsoft SharePoint Server on AWS リファレンスアーキテクチャー 2012/5/24 アマゾンデータサービスジャパン株式会社 Amazon における SharePoint の利用事例 AWS 利用によるメリット インフラの調達時間 4~6 週間から数分に短縮 サーバのイメージコピー作成 手動で半日から 自動化を実現 年間のインフラコスト オンプレミスと比較して 22%

More information

10年オンプレで運用したmixiをAWSに移行した10の理由

10年オンプレで運用したmixiをAWSに移行した10の理由 10 年オンプレで運用した mixi を AWS に移行した 10 の理由 AWS Summit Tokyo 2016 株式会社ミクシィ オレンジスタジオ mixi システム部北村聖児 自己紹介 2 名前 北村聖児 所属 株式会社ミクシィオレンジスタジオ mixiシステム部 担当サービス SNS mixi 今日話すこと 3 mixi を AWS に移行した話 mixi 2004 年 3 月 3 日にオフィシャルオープンした

More information

新しい 自律型データ ウェアハウス

新しい 自律型データ ウェアハウス AUTONOMOUSDATA WAREHOUSE CLOUD 新しい自律型データウェアハウス Warehouse Cloudとは製品ツアー使用する理由まとめ始めましょう おもな機能クラウド同じ 接続 Warehouse Cloud は Oracle Database の市場をリードするパフォーマンスを備え データウェアハウスのワークロードに合わせて最適化された 完全に管理されたオラクルのデータベースです

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx

Microsoft Word - Dolphin Expressによる10Gbpソケット通信.docx Dolphin Express による 10Gbps ソケット通信 Dolphin Express は 標準的な低価格のサーバを用いて 強力なクラスタリングシステムが構築できる ハードウェアとソフトウェアによる通信用アーキテクチャです 本資料では Dolphin Express 製品の概要と 実際にどの程度の性能が出るのか市販 PC での実験結果をご紹介します Dolphin Express 製品体系

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

AWS Deck Template

AWS Deck Template はじめての Elastic Beanstalk Amazon Data Services Japan Elastic Beanstalk とは AWS 上のベストプラクティス構成を自動作成 コードをデプロイするだけで Web アプリケーションを開始 Instance WAR deploy! Elastic Load Balancer Amazon RDS Instance CloudWatch Auto

More information

スライド 1

スライド 1 GPU クラスタによる格子 QCD 計算 広大理尾崎裕介 石川健一 1.1 Introduction Graphic Processing Units 1 チップに数百個の演算器 多数の演算器による並列計算 ~TFLOPS ( 単精度 ) CPU 数十 GFLOPS バンド幅 ~100GB/s コストパフォーマンス ~$400 GPU の開発環境 NVIDIA CUDA http://www.nvidia.co.jp/object/cuda_home_new_jp.html

More information

! 行行 CPUDSP PPESPECell/B.E. CPUGPU 行行 SIMD [SSE, AltiVec] 用 HPC CPUDSP PPESPE (Cell/B.E.) SPE CPUGPU GPU CPU DSP DSP PPE SPE SPE CPU DSP SPE 2

! 行行 CPUDSP PPESPECell/B.E. CPUGPU 行行 SIMD [SSE, AltiVec] 用 HPC CPUDSP PPESPE (Cell/B.E.) SPE CPUGPU GPU CPU DSP DSP PPE SPE SPE CPU DSP SPE 2 ! OpenCL [Open Computing Language] 言 [OpenCL C 言 ] CPU, GPU, Cell/B.E.,DSP 言 行行 [OpenCL Runtime] OpenCL C 言 API Khronos OpenCL Working Group AMD Broadcom Blizzard Apple ARM Codeplay Electronic Arts Freescale

More information

Images per Second Images per Second VOLTA: ディープラーニングにおける大きな飛躍 ResNet-50 トレーニング 2.4x faster ResNet-50 推論 TensorRT - 7ms レイテンシ 3.7x faster P100 V100 P10

Images per Second Images per Second VOLTA: ディープラーニングにおける大きな飛躍 ResNet-50 トレーニング 2.4x faster ResNet-50 推論 TensorRT - 7ms レイテンシ 3.7x faster P100 V100 P10 NVIDIA TESLA V100 CUDA 9 のご紹介 森野慎也, シニアソリューションアーキテクト (GPU-Computing) NVIDIA Images per Second Images per Second VOLTA: ディープラーニングにおける大きな飛躍 ResNet-50 トレーニング 2.4x faster ResNet-50 推論 TensorRT - 7ms レイテンシ

More information

スライド 1

スライド 1 Zabbix のデータベース ベンチマークレポート PostgreSQL vs MySQL Yoshiharu Mori SRA OSS Inc. Japan Agenda はじめに Simple test 大量のアイテムを設定 Partitioning test パーティションイングを利用して計測 Copyright 2013 SRA OSS, Inc. Japan All rights reserved.

More information

AWS 認定 DevOps エンジニア - プロフェッショナルサンプル試験問題 1) あなたは Amazon EBS ボリュームを使用する Amazon EC2 上で実行されているアプリケーションサーバ ー向けに 自動データバックアップソリューションを導入する業務を担当しています 単一障害点を回避し

AWS 認定 DevOps エンジニア - プロフェッショナルサンプル試験問題 1) あなたは Amazon EBS ボリュームを使用する Amazon EC2 上で実行されているアプリケーションサーバ ー向けに 自動データバックアップソリューションを導入する業務を担当しています 単一障害点を回避し 1) あなたは Amazon EBS ボリュームを使用する Amazon EC2 上で実行されているアプリケーションサーバ ー向けに 自動データバックアップソリューションを導入する業務を担当しています 単一障害点を回避し データの耐久性を高めるために 分散データストアを使用してバックアップを取りたいと考えています また データを 1 時間以内に復元できるように 毎日のバックアップを 30 日間保存する必要があります

More information

Startup_on_AWS_usecases_StartupDay

Startup_on_AWS_usecases_StartupDay "Startup on AWS" use-cases from AWS Startup Tech Community Amazon Web Services Japan March 12, 2018 #AWSStartupJP Amazon Web Services Japan Senior Solutions Architect / Manager, Solutions Architecture

More information

Microsoft PowerPoint - GPUシンポジウム _d公開版.ppt [互換モード]

Microsoft PowerPoint - GPUシンポジウム _d公開版.ppt [互換モード] 200/0/9 数値流体解析の並列効率とその GPU による高速化の試み 清水建設 ( 株 ) 技術研究所 PHAM VAN PHUC ( ファムバンフック ) 流体計算時間短縮と GPU の活用の試み 現 CPUとの比較によりGPU 活用の可能性 現 CPU の最大利用 ノード内の最大計算資源の利用 すべてCPUコアの利用 適切なアルゴリズムの利用 CPU コア性能の何倍? GPU の利用の試み

More information

VXPRO R1400® ご提案資料

VXPRO R1400® ご提案資料 Intel Core i7 プロセッサ 920 Preliminary Performance Report ノード性能評価 ノード性能の評価 NAS Parallel Benchmark Class B OpenMP 版での性能評価 実行スレッド数を 4 で固定 ( デュアルソケットでは各プロセッサに 2 スレッド ) 全て 2.66GHz のコアとなるため コアあたりのピーク性能は同じ 評価システム

More information

hpc141_shirahata.pdf

hpc141_shirahata.pdf GPU アクセラレータと不揮発性メモリ を考慮した I/O 性能の予備評価 白幡晃一 1,2 佐藤仁 1,2 松岡聡 1 1: 東京工業大学 2: JST CREST 1 GPU と不揮発性メモリを用いた 大規模データ処理 大規模データ処理 センサーネットワーク 遺伝子情報 SNS など ペタ ヨッタバイト級 高速処理が必要 スーパーコンピュータ上での大規模データ処理 GPU 高性能 高バンド幅 例

More information

PowerPoint Presentation

PowerPoint Presentation AWS ビッグデータサービス Deep Dive アマゾンデータサービスジャパンソリューションアーキテクト蒋逸峰 July 17, 2014 Session #TA-01 2014 Amazon.com, Inc. and its affiliates. All rights reserved. May not be copied, modified, or distributed in whole

More information

Microsoft Word - AWSBlueprint final.docx

Microsoft Word - AWSBlueprint final.docx はじめに は 受験者の以下の能力を認定するものです 要件を理解し AWS アーキテクチャーのベストプラクティスを用いて構築するソリューションを定義することができる アーキテクチャーのベストプラクティスを 開発者およびシステム管理者に対してプロジェクトのライフサイクルを通じて助言できる この水準を満たすために必要な基本知識とスキルには 以下の分野およびその項目すべてを含みます 知識レベルとしては 以下の大部分を理解しているものと定義します

More information

PowerPoint Presentation

PowerPoint Presentation AWS Black Belt Online Seminar Amazon Container Services Ryosuke Iwanaga, Solutions Architect Amazon Web Services Japan K.K. 2018.02.20 自己紹介 Ryosuke Iwanaga ( 岩永亮介 ) Twitter/GitHub @riywo Amazon Web Services

More information

Microsoft Word - HOKUSAI_system_overview_ja.docx

Microsoft Word - HOKUSAI_system_overview_ja.docx HOKUSAI システムの概要 1.1 システム構成 HOKUSAI システムは 超並列演算システム (GWMPC BWMPC) アプリケーション演算サーバ群 ( 大容量メモリ演算サーバ GPU 演算サーバ ) と システムの利用入口となるフロントエンドサーバ 用途の異なる 2 つのストレージ ( オンライン ストレージ 階層型ストレージ ) から構成されるシステムです 図 0-1 システム構成図

More information

スライド 1

スライド 1 CROOZ,Inc. 1 モバイルゲームの全世界オンライン対戦を実現する方法を考察する クルーズ株式会社 田沢知志 CROOZ って何やってる会社? CROOZ,Inc. CROOZ は ソーシャルゲームやネット通販を中心に 世界中にインターネットサービスを提供するエンターテインメント企業です アジェンダ CROOZ,Inc. 3 クラウド導入の一般的な考慮点(LAMP 環境 ) ストレージI/Oの考慮点

More information

システムソリューションのご紹介

システムソリューションのご紹介 HP 2 C 製品 :VXPRO/VXSMP サーバ 製品アップデート 製品アップデート VXPRO と VXSMP での製品オプションの追加 8 ポート InfiniBand スイッチ Netlist HyperCloud メモリ VXPRO R2284 GPU サーバ 製品アップデート 8 ポート InfiniBand スイッチ IS5022 8 ポート 40G InfiniBand スイッチ

More information

スライド 1

スライド 1 期間限定販売プログラム vsmp Foundation クラスタを仮想化して運用と管理の容易なシングルシステムを構築様々なリソースを柔軟に統合化 Panasas ActiveStor 研究開発やエンタープライズクラスのワークロードに理想的なハイブリッドスケールアウト NAS アプライアンス 販売プログラム PANASAS ACTIVESTORE 仮想化ソフトウエア無償提供 2 販売プログラムの内容

More information

ビッグデータやクラウドのシステム基盤向けに処理性能を強化した「BladeSymphony」および「HA8000シリーズ」の新製品を販売開始

ビッグデータやクラウドのシステム基盤向けに処理性能を強化した「BladeSymphony」および「HA8000シリーズ」の新製品を販売開始 2013 年 9 月 19 日 株式会社日立製作所 ビッグデータやクラウドのシステム基盤向けに処理性能を強化した BladeSymphony および HA8000 シリーズ の新製品を販売開始 運用管理工数の削減を実現するサーバ管理ソフトウェア Hitachi Compute Systems Manager を標準添付 BS520H サーバブレード / PCI 拡張ブレード HA8000/RS220-h

More information

【Cosminexus V9】クラウドサービスプラットフォーム Cosminexus

【Cosminexus V9】クラウドサービスプラットフォーム Cosminexus http://www.hitachi.co.jp/soft/ask/ http://www.hitachi.co.jp/cosminexus/ Printed in Japan(H) 2014.2 CA-884R データ管 タ管理 理 ノンストップデータベース データ管 タ管理 理 インメモリデータグリッド HiRDB Version 9 ucosminexus Elastic Application

More information

Machine Learning on AWS

Machine Learning on AWS Machine Learning on AWS アマゾンウェブサービス株式会社ソリューションアーキテクト志村誠 2017.06.01 2017, Amazon Web Services, Inc. or its Affiliates. All rights reserved. 志村誠 (Makoto Shimura) 所属 : アマゾンウェブサービスジャパン株式会社 業務 : ソリューションアーキテクト

More information

目次 1. はじめに SSL 通信を使用する上での課題 SSL アクセラレーターによる解決 SSL アクセラレーターの導入例 SSL アクセラレーターの効果... 6 富士通の SSL アクセラレーター装置のラインナップ... 8

目次 1. はじめに SSL 通信を使用する上での課題 SSL アクセラレーターによる解決 SSL アクセラレーターの導入例 SSL アクセラレーターの効果... 6 富士通の SSL アクセラレーター装置のラインナップ... 8 IPCOM 目次 1. はじめに... 1 2.SSL 通信を使用する上での課題... 2 3.SSL アクセラレーターによる解決... 3 4.SSL アクセラレーターの導入例... 4 5.SSL アクセラレーターの効果... 6 富士通の SSL アクセラレーター装置のラインナップ... 8 1. はじめに SSL は インターネット上で最も良く使われている暗号技術です SSL は 通信内容を暗号化して盗聴を防ぐ機能のほかに

More information

<4D F736F F D F B835E82CC8D8291AC8F88979D82F08FAC8C5E82A982C288C089BF82C88D5C90AC82C AC82B782E996A78C8B8D878C5E836E815B C695C097F18F88979D82F091678D8782B982BD8C768E5A8B

<4D F736F F D F B835E82CC8D8291AC8F88979D82F08FAC8C5E82A982C288C089BF82C88D5C90AC82C AC82B782E996A78C8B8D878C5E836E815B C695C097F18F88979D82F091678D8782B982BD8C768E5A8B テーマ名ビッグデータの高速処理を小型かつ安価な構成で達成する密結合型ハードウェアと並列処理を組合せた計算機システム組織名国立大学法人電気通信大学情報システム学研究科吉永務教授技術分野 IT 概要ビッグデータの高速処理を実現するために ストレージ 光通信ネットワーク FPGA SSD 等を密接に結合させたハードウェアと高効率の並列処理を組合せ 小型かつ安価なシステム構成でありながら Hadoop Impala

More information

GPUを用いたN体計算

GPUを用いたN体計算 単精度 190Tflops GPU クラスタ ( 長崎大 ) の紹介 長崎大学工学部超高速メニーコアコンピューティングセンターテニュアトラック助教濱田剛 1 概要 GPU (Graphics Processing Unit) について簡単に説明します. GPU クラスタが得意とする応用問題を議論し 長崎大学での GPU クラスタによる 取組方針 N 体計算の高速化に関する研究内容 を紹介します. まとめ

More information

Microsoft PowerPoint - AWS紹介-VIOPS2 [互換モード]

Microsoft PowerPoint - AWS紹介-VIOPS2 [互換モード] Amazon Web Services (AWS) の紹介 JAWS JAWS UG 肝付兼続 Amazon Web Services (AWS) http://aws.amazon.com/ Amazon Web Services (AWS) Amazon Web Services LLC が提供しているパイオニア的な IaaS クラウドサービスサーバホスティング (VPS, 専用サーバ ) 的に利用ハードウェア資産を持たずに情報システムを外部

More information

Microsoft PowerPoint - GPU_computing_2013_01.pptx

Microsoft PowerPoint - GPU_computing_2013_01.pptx GPU コンピューティン No.1 導入 東京工業大学 学術国際情報センター 青木尊之 1 GPU とは 2 GPGPU (General-purpose computing on graphics processing units) GPU を画像処理以外の一般的計算に使う GPU の魅力 高性能 : ハイエンド GPU はピーク 4 TFLOPS 超 手軽さ : 普通の PC にも装着できる 低価格

More information

SIOS Protection Suite for Linux v9.3.2 AWS Direct Connect 接続クイックスタートガイド 2019 年 4 月

SIOS Protection Suite for Linux v9.3.2 AWS Direct Connect 接続クイックスタートガイド 2019 年 4 月 SIOS Protection Suite for Linux v9.3.2 AWS Direct Connect 接続クイックスタートガイド 2019 年 4 月 本書およびその内容は SIOS Technology Corp.( 旧称 SteelEye Technology, Inc.) の所有物であり 許可なき使用および複製は禁止されています SIOS Technology Corp. は本書の内容に関していかなる保証も行いません

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Dell PowerEdge C6320 スケーラブルサーバアプライアンス 仮想化アプライアンスサーバ 最新のプロセッサを搭載したサーバプラットフォーム vsmp Foundation によるサーバ仮想化と統合化の適用 システムはセットアップを完了した状態でご提供 基本構成ではバックプレーン用のスイッチなどが不要 各ノード間を直接接続 冗長性の高いバックプレーン構成 利用するサーバプラットフォームは

More information

Oracle Cloud Adapter for Oracle RightNow Cloud Service

Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service Oracle Cloud Adapter for Oracle RightNow Cloud Service を使用すると RightNow Cloud Service をシームレスに接続および統合できるため Service Cloud プラットフォームを拡張して信頼性のある優れたカスタマ

More information

Microsoft PowerPoint - SWoPP2010_Shirahata

Microsoft PowerPoint - SWoPP2010_Shirahata GPU を考慮した MapReduce の タスクスケジューリング 白幡晃一 1 佐藤仁 1 松岡聡 1 2 3 1 東京工業大学 2 科学技術振興機構 3 国立情報学研究所 大規模データ処理 情報爆発時代における 大規模データ処理 気象 生物学 天文学 物理学など様々な科学技術計算での利用 MapReduce 大規模データ処理のためのプログラミングモデルデ スケーラブルな並列データ処理 GPGPU

More information

(速報) Xeon E 系モデル 新プロセッサ性能について

(速報) Xeon E 系モデル 新プロセッサ性能について ( 速報 ) Xeon E5-2600 系モデル新プロセッサ性能について 2012 年 3 月 16 日 富士通株式会社 2012 年 3 月 7 日 インテル社より最新 CPU インテル Xeon E5 ファミリー の発表がありました この最新 CPU について PC クラスタシステムの観点から性能検証を行いましたので 概要を速報いたします プロセッサインテル Xeon プロセッサ E5-2690

More information

AWS における ベストパートナーを見つける 7 つの方法 相澤恵奏アマゾンウェブサービスジャパンアライアンス技術本部テクニカルイネーブルメント部部長パートナーソリューションアーキテクト #AWSInnovate 2019, Amazon Web Services, Inc. or its affi

AWS における ベストパートナーを見つける 7 つの方法 相澤恵奏アマゾンウェブサービスジャパンアライアンス技術本部テクニカルイネーブルメント部部長パートナーソリューションアーキテクト #AWSInnovate 2019, Amazon Web Services, Inc. or its affi AWS における ベストパートナーを見つける 7 つの方法 相澤恵奏アマゾンウェブサービスジャパンアライアンス技術本部テクニカルイネーブルメント部部長パートナーソリューションアーキテクト #AWSInnovate Agenda APNパートナーとは? ベストパートナーを見つける7つの方法 まとめ お客様が AWS に感じるメリット 10+ 最も豊富な機能と最も急速に進むイノベーション 顧客とパートナーの最大のコミュニティ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 総務省 ICTスキル総合習得教材 概要版 eラーニング用 [ コース2] データ蓄積 2-5: 多様化が進展するクラウドサービス [ コース1] データ収集 [ コース2] データ蓄積 [ コース3] データ分析 [ コース4] データ利活用 1 2 3 4 5 座学本講座の学習内容 (2-5: 多様化が進展するクラウドサービス ) 講座概要 近年 注目されているクラウドの関連技術を紹介します PCやサーバを構成するパーツを紹介後

More information

マネージド AI サービスと GPU IaaS クラウドで GPU が活きる二つの選択肢 マネージド AI サービス GPU IaaS Amazon AI Google Cloud Vision API 等 IBM Watson Microsoft Cognitive Services Amazon

マネージド AI サービスと GPU IaaS クラウドで GPU が活きる二つの選択肢 マネージド AI サービス GPU IaaS Amazon AI Google Cloud Vision API 等 IBM Watson Microsoft Cognitive Services Amazon GPU クラウド コンピューティング エンタープライズマーケティング部 佐々木邦暢 (@_ksasaki) マネージド AI サービスと GPU IaaS クラウドで GPU が活きる二つの選択肢 マネージド AI サービス GPU IaaS Amazon AI Google Cloud Vision API 等 IBM Watson Microsoft Cognitive Services Amazon

More information

背景 1 / Reprinted with permission from paper c 2013 SAE International.

背景 1 / Reprinted with permission from paper c 2013 SAE International. 車載グラフィックメータ開発プロセス革新への挑戦 ~ REMO ZIPC による 3D HMI 開発事例 ~ 西川良一株式会社デンソー情報通信システム開発部 背景 1 / 17 2008 2009 2010 2011 2012 2013 Reprinted with permission from paper 2013-01 01-04250425 c 2013 SAE International.

More information

Joint Content Development Proposal Tech Docs and Curriculum

Joint Content Development Proposal Tech Docs and Curriculum 徹底解説!Hortonworks が提供する次世代データプラットフォーム 蒋逸峰 & 河村康爾 Hortonworks October 10, 2017 1 Hortonworks Inc. 2011 2016. All Rights Reserved 総合的な管理 セキュリティやガバナンス ON-PREMISES CLOUD EDGE MULTI-WORKLOADS MULTI-TYPE MULTI-TIER

More information

版 HinemosVM クラウド管理機能のご紹介 NTT データ先端技術株式会社 2019 NTT DATA INTELLILINK Corporation

版 HinemosVM クラウド管理機能のご紹介 NTT データ先端技術株式会社 2019 NTT DATA INTELLILINK Corporation 201907 版 HinemosVM クラウド管理機能のご紹介 NTT データ先端技術株式会社 2019 NTT DATA INTELLILINK Corporation 背景 クラウドが一般的に しかしクラウド運用が課題に 法人分野早期からクラウド導入が進む金融分野某メガバンクのAWS 戦略公共分野デジタル ガバメント実行計画 準拠法および裁判地とも日本法適用へ クラウドのメリットを享受するにはクリアすべき運用課題が

More information

GPUコンピューティング講習会パート1

GPUコンピューティング講習会パート1 GPU コンピューティング (CUDA) 講習会 GPU と GPU を用いた計算の概要 丸山直也 スケジュール 13:20-13:50 GPU を用いた計算の概要 担当丸山 13:50-14:30 GPU コンピューティングによる HPC アプリケーションの高速化の事例紹介 担当青木 14:30-14:40 休憩 14:40-17:00 CUDA プログラミングの基礎 担当丸山 TSUBAME の

More information

A to Z Getting Started with AWS Computing 2016/10/12 AWS Computing Amazon EC2 Auto Scaling AWS Amazon 1994: Jeff Bezos により設 立立 2005: Amazon Publishing を開始 2007: Kindle を開始 2012: Amazon Game Studios を開始

More information

HPCS

HPCS 会社紹介 Gfarm ワークショップ 2018 2018 年 3 2 株式会社 HPCソリューションズ河野証 事業概要 HPC ソリューションプロバイダ HPC 製品ハードウェアソフトウェア パブリッククラウド コンサルティングアプラインス等 2 事業概要 HPC ソリューションプロバイダ HPC 製品ハードウェアソフトウェア 3 事業概要 HPC ソリューションプロバイダ Analyze-IT/Predict-IT

More information

NVIDIA Tesla K20/K20X GPU アクセラレータ アプリケーション パフォーマンス テクニカル ブリーフ

NVIDIA Tesla K20/K20X GPU アクセラレータ アプリケーション パフォーマンス テクニカル ブリーフ NVIDIA Tesla K20/K20X GPU アクセラレータ アプリケーション パフォーマンス テクニカル ブリーフ K20 GPU2 個に対するスピードアップ NVIDIA は Fermi アーキテクチャ GPU の発表により パフォーマンス エネルギー効率の両面で飛躍的な性能向上を実現し ハイパフォーマンスコンピューティング (HPC) の世界に変革をもたらしました また 実際に GPU

More information

スピーカースライド作成前の確認シート例

スピーカースライド作成前の確認シート例 IoT に AI を組み込む ~ 最新技術と実践方法解説 AI08 IoTの開発 運用コストは AIの活用で回収する AI = Big Data Big Data from IoT Edges AI Create Excellent Value IoT は 膨大なデバイスと膨大なデータを扱う Azure で IoT+AI を実践するときの基本骨格 IoT で使われる AI 要素 IoT のスケール感

More information

ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ PASCO CORPORATION 2015

ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ PASCO CORPORATION 2015 ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ 本セッションの目的 本セッションでは ERDAS IMAGINEにおける処理速度向上を目的として機器 (SSD 等 ) 及び並列処理の比較 検討を行った 1.SSD 及び RAMDISK を利用した処理速度の検証 2.Condorによる複数 PCを用いた並列処理 2.1 分散並列処理による高速化試験 (ERDAS IMAGINEのCondorを使用した試験

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

HPE Integrity NonStop NS2300 サーバー

HPE Integrity NonStop NS2300 サーバー HPE Integrity NonStop サーバー HPE Integrity NonStop NS2300 サーバー 製品の画像は 実際の製品と異なることがあります 概要 HPE Integrity NonStop NS2300 サーバーは J シリーズ OS を稼働する 番新しいエントリークラスのサーバーです このサーバーは HPE Integrity NonStop 製品ファミリーに新たに加わり

More information

具現化するエンジニアリングクラウド on AWS

具現化するエンジニアリングクラウド on AWS 具現化するエンジニアリングクラウド on AWS Takashi Ogawa / Solutions Architect (HPC/CAE) Amazon Web Services Japan K.K. May 31, 2017 2017, Amazon Web Services, Inc. or its Affiliates. All rights reserved. 紹介 名前 : 川貴 ( おがわたかし

More information

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - E6x5C SDXC Demo Seminar [\214\335\212\267\203\202\201[\203h]) Atom プロセッサ E6x5C の紹介と FPGA IP Core 活 例の紹介 アイウェーブ ジャパン株式会社 神奈川県横浜市中区住吉町 3 丁目 29 番住吉関内ビル8 階 B Tel: 045-227-7626 Fax: 045-227-7646 Mail: info@iwavejapan.co.jp Web: www.iwavejapan.co.jp 2011/5/30 1 iwave Japan,

More information

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果 Pervasive PSQL v11 のベンチマークパフォーマンスの結果 Pervasive PSQL ホワイトペーパー 2010 年 9 月 目次 実施の概要... 3 新しいハードウェアアーキテクチャがアプリケーションに及ぼす影響... 3 Pervasive PSQL v11 の設計... 4 構成... 5 メモリキャッシュ... 6 ベンチマークテスト... 6 アトミックテスト... 7

More information

Enterprise Cloud + 紹介資料

Enterprise Cloud +  紹介資料 Oracle Exadata の AWS 移行事例のご紹介 Oracle Exadata の移行 アジェンダ お客様の声 PoC フェーズ 移行診断 環境構築 データ移行 チューニング 移行フェーズ 業務 / データ整理 運用管理 まとめ 2 お客様の声 性能改修規模コスト移行方式運用環境 移行しても現状のデータベースと同等のパフォーマンスを出せるのか利用システムは どの程度改修が必要なのかコスト

More information

160311_icm2015-muramatsu-v2.pptx

160311_icm2015-muramatsu-v2.pptx Linux におけるパケット処理機構の 性能評価に基づいた NFV 導 の 検討 村松真, 川島 太, 中 裕貴, 林經正, 松尾啓志 名古屋 業 学 学院 株式会社ボスコ テクノロジーズ ICM 研究会 2016/03/11 研究 的 VM 仮想 NIC バックエンド機構 仮想化環境 仮想スイッチ パケット処理機構 物理環境 性能要因を考察 汎 IA サーバ NFV 環境に適したサーバ構成を検討

More information

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED 組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 組込み Linux における起動高速化 組込み Linux の起動時間短縮について依頼あり スペック CPU : Cortex-A9 ( 800MB - single) RAM: 500MB 程度 要件 起動時間 画出し 5 秒 音出し 3 秒 終了時間 数 ms で電源断 1 課題と対策 問題点

More information

InfoFrame Relational Store V2.2 構築ガイド for Amazon Web Services RS J

InfoFrame Relational Store V2.2 構築ガイド for Amazon Web Services RS J InfoFrame Relational Store V2.2 構築ガイド for Amazon Web Services RS-0202-15-01-J 商標類 Apache Hadoop Hadoop HDFS は Apache Software Foundation の米国およびその他の国における登録商標または商標です Ethernet は 米国 XEROX の登録商標です Intel Intel64

More information

データセンターの効率的な資源活用のためのデータ収集・照会システムの設計

データセンターの効率的な資源活用のためのデータ収集・照会システムの設計 データセンターの効率的な 資源活用のためのデータ収集 照会システムの設計 株式会社ネットワーク応用通信研究所前田修吾 2014 年 11 月 20 日 本日のテーマ データセンターの効率的な資源活用のためのデータ収集 照会システムの設計 時系列データを効率的に扱うための設計 1 システムの目的 データセンター内の機器のセンサーなどからデータを取集し その情報を元に機器の制御を行うことで 電力消費量を抑制する

More information

2015 年 4 月 6 日 Biz ホスティング Enterprise Cloud における Oracle Database Enterprise Edition RAC の提供開始について ~Oracle Database Enterprise Edition RAC をクラウド基盤で利用可能と

2015 年 4 月 6 日 Biz ホスティング Enterprise Cloud における Oracle Database Enterprise Edition RAC の提供開始について ~Oracle Database Enterprise Edition RAC をクラウド基盤で利用可能と 2015 年 4 月 6 日 Biz ホスティング Enterprise Cloud における Oracle Database Enterprise Edition RAC の提供開始について ~Oracle Database Enterprise Edition RAC をクラウド基盤で利用可能とするとともに 既存データベースライセンスのクラウド移行 (BYOL) も可能に~ NTT コミュニケーションズ

More information

京プリポストクラウド

京プリポストクラウド 京プリポストクラウドサービスの紹介 RIKEN R-CCS Mar. 28, 2019 導入の背景 プリポスト処理のための計算リソースの不足 京 ではプリポスト処理用の物理サーバ 4 台が導入されているが,8 万ノードに達する計算ノード規模に比べると極めて小さく, 以前よりプリポストのためのリソース拡充の要望があった. ソフトウェア利用環境に関する課題 京 のプリポスト処理ノードは,Intel アーキテクチャを採用しているため,

More information

Microsoft Word - nvsi_050110jp_netvault_vtl_on_dothill_sannetII.doc

Microsoft Word - nvsi_050110jp_netvault_vtl_on_dothill_sannetII.doc Article ID: NVSI-050110JP Created: 2005/10/19 Revised: - NetVault 仮想テープ ライブラリのパフォーマンス検証 : dothill SANnetⅡSATA 編 1. 検証の目的 ドットヒルシステムズ株式会社の SANnetll SATA は 安価な SATA ドライブを使用した大容量ストレージで ディスクへのバックアップを行う際の対象デバイスとして最適と言えます

More information

OF2018 Cloud3-1

OF2018 Cloud3-1 学術情報基盤オープンフォーラム 2018 オンデマンドクラウド構築サービス 竹房 あつ子 国立情報学研究所クラウド基盤研究開発センター 2018 年 6 月 21 日 1 NII のクラウド導入 利用支援 利用 導入検討 調達 オンデマンドクラウド構築サービス 2018 年度予定 学認クラウド導入支援サービス スタートアップガイド セミナー チェックリスト 個別相談 提供中 クラウドゲートウェイ提供中

More information

PassSureExam Best Exam Questions & Valid Exam Torrent & Pass for Sure

PassSureExam   Best Exam Questions & Valid Exam Torrent & Pass for Sure PassSureExam http://www.passsureexam.com Best Exam Questions & Valid Exam Torrent & Pass for Sure Exam : 1z0-950-JPN Title : Oracle Data Management Cloud Service 2018 Associate Vendor : Oracle Version

More information

Leveraging Cloud Computing to launch Python apps

Leveraging Cloud Computing to launch Python apps クラウド時代のアーキテクチャ設計 - 次世代アーキテクトが押さえるべきキーポイント - 玉川憲 (Twitter: @KenTamagawa) エバンジェリスト v 1.1 - July 21st, 2011 オープンソース ソフトのライセンス費を90% 削減 AWSクラウド インフラの総運用費を90% 削減 Where open-source computing gave us a 90% reduction

More information

自己紹介 名前 : 小川貴士 ( おがわたかし ) 所属 : アマゾンウェブサービスジャパンソリューションアーキテクト (CAE/HPC) 経歴 : SIerでCAE/HPCのインフラエンジニア出現場所 :CAE/HPC 系のイベントやユーザ会好きなAWSサービス :Amazon EC2 AWS B

自己紹介 名前 : 小川貴士 ( おがわたかし ) 所属 : アマゾンウェブサービスジャパンソリューションアーキテクト (CAE/HPC) 経歴 : SIerでCAE/HPCのインフラエンジニア出現場所 :CAE/HPC 系のイベントやユーザ会好きなAWSサービス :Amazon EC2 AWS B AWS Black Belt Online Seminar AWS Batch アマゾンウェブサービスジャパン株式会社 ソリューションアーキテクト小川貴士 2017.2.8 自己紹介 名前 : 小川貴士 ( おがわたかし ) 所属 : アマゾンウェブサービスジャパンソリューションアーキテクト (CAE/HPC) 経歴 : SIerでCAE/HPCのインフラエンジニア出現場所 :CAE/HPC 系のイベントやユーザ会好きなAWSサービス

More information

Server and Cloud Platform template

Server and Cloud Platform template 利用形態に合わせたクラウド利用 クラウドプラットフォーム 利用形態に合わせたクラウド利用 アプリケーション アプリケーション アプリケーション データ データ データ ランタイム ランタイム ミドルウエア ミドルウエア OS OS 仮想化 サーバー ストレージ ネットワーク Windows Server Microsoft Azure 仮想マシン Windows Server Hyper-V Microsoft

More information

RICCについて

RICCについて RICC 1 RICC 2 RICC 3 RICC GPU 1039Nodes 8312core) 93.0GFLOPS, 12GB(mem), 500GB (hdd) DDR IB!1 PC100Nodes(800core) 9.3 GPGPU 93.3TFLOPS HPSS (4PB) (550TB) 0.24 512GB 1500GB MDGRAPE33TFLOPS MDGRAPE-3 64

More information

[当日発表資料]AWS_Summit_CYBIRD_fix.key

[当日発表資料]AWS_Summit_CYBIRD_fix.key AutoScale!! 2014 07 18 Agenda Agenda AWS AWS http://ikemen.cybird.ne.jp/of/play.html http://ikemen.cybird.ne.jp/of/play.html http://ikemen.cybird.ne.jp/of/message.html 100 GREE Mobage Ameba GREE Mobage

More information

クラウド開発者のためのCloud Design Pattern 入門

クラウド開発者のためのCloud Design Pattern 入門 クラウド開発者のための Cloud Design Pattern 入門 2014 年 10 月 30 日 Cloud Roadshow 札幌 ハッシュタグ #AWSRoadshow #jawsug 名前 : 片山暁雄 所属 アマゾンデータサービスジャパン株式会社 技術本部エンタープライズソリューション部 部長 / ソリューションアーキテクト ID: @c9katayama # ヤマン 好きなプログラム言語

More information

PowerPoint Presentation

PowerPoint Presentation Analytics on AWS Amazon Web Services Japan IoT/AI Solution Builder Team Mitsuaki Nakata 自己紹介 中田光昭 (Mitsuaki Nakata) nmitsu@amazon.co.jp IoT/AI Solution Builder Team Solutions Architect IoT/AI 関連プロジェクトのご支援など

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 第 15 回 PC クラスタシンポジウム Microsoft Azure for Researcher 日本マイクロソフト株式会社パブリックセクター統括本部 中田 寿穂 Agenda 1 Researcher 向けの Microsoft Azure の機能 2 ハイブリット HPC クラスタ環境を提供する HPC Pack 3 Linux も利用可能な Microsoft Azure 4 HPC 向けインスタンス

More information

HPC (pay-as-you-go) HPC Web 2

HPC (pay-as-you-go) HPC Web 2 ,, 1 HPC (pay-as-you-go) HPC Web 2 HPC Amazon EC2 OpenFOAM GPU EC2 3 HPC MPI MPI Courant 1 GPGPU MPI 4 AMAZON EC2 GPU CLUSTER COMPUTE INSTANCE EC2 GPU (cg1.4xlarge) ( N. Virgina ) Quadcore Intel Xeon 5570

More information

KSforWindowsServerのご紹介

KSforWindowsServerのご紹介 Kaspersky Security for Windows Server のご紹介 ランサムウェアに対抗する アンチクリプター を搭載 株式会社カスペルスキー 製品本部 目次 1. サーバーセキュリティがなぜ重要か? 2. Kaspesky Security for Windows Server の概要 Kaspersky Security for Windows Server の特長 導入の効果

More information

FY14Q4 SMB Magalog December - APJ Version

FY14Q4 SMB Magalog December - APJ Version Business Windows Server 2003? Microsoft Windows Server 2012 2012 R2 Dell Dell.co.jp Windows Server 2003 Dell.co.jp/win2003eos Windows Server 2012 E-mail : Microsoft_Solutions@dell.com 212-8589 580 20F

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

CELSIUSカタログ(2012年7月版)

CELSIUSカタログ(2012年7月版) CELSIUS PC "MADE IN JAPAN" 2012.7 W520 ハイエンドの過酷な要求に応えるパワフルなデュアルと高信頼を搭載 RAID構成 選択可能 富士通がお勧めする Windows 7. ミニタワーエントリーモデル より速く より強力に 最新の技術をフル投入 スピードとパワー 安定性を提供 RAID構成 選択可能 Windows 7 Professional 32bit版 正規版

More information

07-二村幸孝・出口大輔.indd

07-二村幸孝・出口大輔.indd GPU Graphics Processing Units HPC High Performance Computing GPU GPGPU General-Purpose computation on GPU CPU GPU GPU *1 Intel Quad-Core Xeon E5472 3.0 GHz 2 6 MB L2 cache 1600 MHz FSB 80 GFlops 1 nvidia

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 OpenCV による基礎的な例 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2007.07.03 リアルタイム処理と高速化 リアルタイム = 高速 ではない 目標となる時間制約が定められているのがリアルタイム処理である.34 ms かかった処理が 33 ms に縮んだだけでも, それによって与えられた時間制約が満たされるのであれば,

More information

GPU n Graphics Processing Unit CG CAD

GPU n Graphics Processing Unit CG CAD GPU 2016/06/27 第 20 回 GPU コンピューティング講習会 ( 東京工業大学 ) 1 GPU n Graphics Processing Unit CG CAD www.nvidia.co.jp www.autodesk.co.jp www.pixar.com GPU n GPU ü n NVIDIA CUDA ü NVIDIA GPU ü OS Linux, Windows, Mac

More information

Agenda Hadoop Sahara Kilo Q&A Copyright 2015 Mirantis, Inc. All rights reserved Page 2

Agenda Hadoop Sahara Kilo Q&A Copyright 2015 Mirantis, Inc. All rights reserved Page 2 OpenStack Sahara Road to Kilo www.miran(s.com/jp Copyright 2015 Mirantis, Inc. All rights reserved Agenda Hadoop Sahara Kilo Q&A Copyright 2015 Mirantis, Inc. All rights reserved Page 2 Hadoop Open-source

More information