スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 マルチコア メニーコア向けの OS 2010 年 3 月 7 日 NGCOM 第 7 回ワークショップ東京農工大学佐藤未来子 Mail: mikiko@namikilab.tuat.ac.jp URL:

2 目次 背景 研究課題 目標 方針 システムの全体構成 研究成果 (1) 軽量なマルチスレッドプログラムの実行基盤 (2)OS による MMU を用いたスクラッチパッドメモリの管理方式 (3) 汎用 OS とマルチコアに特化した専用 OS を連携動作させる機構 (4) 汎用 OS から動的再構成可能プロセッサを制御する機構 まとめ 2

3 背景 マルチコア / メニーコアプロセッサの時代到来 2004 年頃 :Intel Xeon や IBM Power4/5 といったマルチスレッディング, マルチコアがサーバや PC で採用 Windows や Linux などの汎用 OS が粗粒度命令流を並列実行 2006 年頃 :RP-1(Renesas/ 日立 / 早稲田 ),Cell/B.E. など, マルチコアプロセッサを身近で活用可能に! RP-1 や Cell/B.E. に独自 OS や既存 OS を移植 マルチコアを自由に使い倒して, 細粒度の科学技術計算や中粒度のマルチメディア系計算などを 1 チップで処理する基盤作り 野尻他, マイクロカーネル方式による Cell/B.E. 向け OS 構成法の提案と MINIX 3 による実現, 情処 OS 研, Vol.2009-OS-110, pp ( ) 太田他,Cell/B.E. の SPE 向け軽量カーネルの設計と試作, 情処 OS 研, Vol.2009-OS-111, No.37, pp.1-8 ( ) 3

4 背景 2007 年以降 :RP-2(Renesas/ 日立 / 早稲田 ) など 8 コアも身近に 従来の SMP を前提とした OS アーキテクチャではうまく使いこなせないということを痛感 コア 0 CM Snoop Controller コア 1 CM コア 2 CM 外部メモリ コア 3 CM RP-1 のアーキテクチャ ( 共有メモリ型の 1 クラスタ構成 ) CM:Cache Memory コア 0 CM コア 4 CM Snoop Controller コア 1 CM コア 5 CM コア 2 CM コア 6 CM Snoop Controller コア 3 CM コア 7 CM RP-2 のアーキテクチャ ( 共有メモリ型の 2 クラスタ構成 ) 外部メモリ 4

5 背景 2010/2/26 低消費電力メニーコアプロセッサ技術シンポジウム at 早稲田大学 早稲田大学の NEDO, 富士通, ルネサス,NEC, 東芝の各研究開発の取り組みを発表 プロセス技術の進歩により本当に 1000 コアの時代が来ることをあらためて痛感 コア数が増えるとバス接続では通信ネックになる 各社様々な相互結合網を検討 (NoC:Network-on-Chip) 表 :5mm 2 に ARM コアだけを敷き詰める場合を想定したコア数 プロセス (nm) ARM7TDMI-S 125 コア 240 コア 989 コア ARM926EJ-S +Cache 16 コア 31 コア 128 コア ( 注 ) 低消費電力メニーコアプロセッサシステム技術シンポジウム資料 P.52 より抜粋 5

6 背景 ( まとめ ) マルチコア / メニーコアプロセッサ時代を見据えて プログラムの開発環境や実行基盤の研究開発が重要である. シングルコアの時代 周波数向上にともなう性能向上 マルチコア / メニーコア アーキテクチャに適した使い方次第で性能向上 コアや機能が沢山あっても, 適材適所で使いこなすことができなければ, 宝の持ち腐れとなり, 無駄に電力を消費するだけ マルチコアアーキテクチャを活かせるような プログラム実行基盤の研究 2007 年以降,RP-1 を活用して研究を開始 6

7 既存の基盤ソフトウェアと研究課題 マルチコアプロセッサ活用のために着目すべき技術 省電力機能, 多コア化, 多機能化などに応じた最適なリソース管理, スケジューリング制御 既存の {SMP 向け 組込み }OS では, - 高コスト ( オーバヘッド ) な実行基盤 軽量なスレッド管理 制御を実現したい - コンパイラからのスケジューリング情報を渡せない コンパイラの静的情報を活かせる環境にしたい - RP-1 のアーキテクチャを活かせない マルチコア オンチップ RAM データ転送 省電力制御など のプロセッサアーキテクチャを活用できるようにしたい 7

8 目標と方針 マルチコアプロセッサ上で並列プログラムを効率よく制御するプログラム実行基盤の開発 (1) 軽量なスレッド管理 制御ができる独自 OS により並列演算性能を追求 (2)POSIX read ベースのプログラミングモデル Future & MULi (3) 利便性追求のための 2 種 ( 以上 ) の OS を活用するハイブリッド OS でのプログラム実行基盤 VM による複数 OS 稼動方式ではない Future/MULi: マルチコアを活かす軽量な実行基盤 SH-Linux: 入出力管理 プログラム起動制御 1 つのプログラムを複数の OS で処理する 8

9 システムの全体構成 RP-1 を用いたマルチコア向けプログラム実行基盤を研究開発中 Future 用アプリケーションプログラム MULi 他システム ネットワーク Linux I/O 代行処理 OS 連携機構 プロセス管理 Future メモリ管理 スレッド制御 GUI ファイル CPU#0 CPU#1 CPU#2 CPU#3 main memory SH-4A マルチコア (4 コア ) I/O 処理 並列演算処理 SH マルチコアプロセッサ RP-1 におけるソフトウェアアーキテクチャ 9

10 研究成果 (1) 軽量なマルチスレッドプログラムの実行基盤 (2)OS による MMU を用いたスクラッチパッドメモリの管理方式 (3) 汎用 OS とマルチコアに特化した専用 OS を連携動作させる機構 (4) 汎用 OS から動的再構成可能プロセッサを制御する機構 10

11 (1) 軽量なマルチスレッドプログラ ムの実行基盤 スレッドライブラリ MULi ( マリス ) (Userlevel read Library for Multithreaded architecture) POSIX スレッドを管理するスレッドライブラリ ユーザレベルでスレッド制御 - コアへのスレッド割当て, スレッドコンテキスト管理 ライトウェイト OS Future MULi のスレッド制御を支援する OS マルチコアプロセッサの複数コアを仮想化するプロセス管理 例外 コア間割込み等の特権処理 11

12 Futureのプロセス管理とMULiのスレッド管理 プロセス スレッド管理 MULi ユーザレベルで実コアを仮想化した軽量スレッドを提供 UserLevel スレッド制御 カーネルはコア全体とアドレス空間を仮想化 Future プロセス #1 プロセス #2 プロセス #3 C#3 F#0 F#1 C#0 C#1 C#2 プロセス管理 KernelLevel Core#0 Core#1 Core#2 Core#3 他実行系 他実行系 RP1 Hardware Level 12

13 Future のプロセス管理の概念 マルチコアプロセッサの複数コアを仮想化する プロセス #0 プロセス #1 プロセス #2 MULi スレッド管理 MULi スレッド管理 MULi スレッド管理 Future プロセス管理 n 個のコアをプロセスへ割当てる Core#0 Core#1 Core#2 Core#3 RP1 13

14 メニーコア向けプロセス管理 ( 今後 の課題 ) 多コア化への対応として, プロセス起動時に使用コア数を申請. コアがあればプロセス開始, なければ待つ. アドレス空間はFuture が仮想化 どこのコアが割当てられても動けるようにプロセスを管理する必要あり プロセス #3 プロセス #1 プロセス #2 Snoop Controller コア0 CM コア1 CM コア2 CM コア3 CM コア4 CM コア5 CM コア6 CM コア7 CM 外部メモリ Snoop Controller 14

15 MULi でサポートした Pread I/F スレッド管理系 pthread_create,pthread_join,pthread_exit, pthread_attr_init, pthread_attr_setdetachstate,pthread_attr_getdetachstate, pthread_attr_setbind,pthread_attr_getbind,pthread_yield ( コアへスレッドをバインドする I/F) 同期系 pthread_mutex_lock,pthread_mutex_unlock, pthread_mutex_init,pthread_cond_wait,pthread_cond_signal, pthread_cond_broadcast,pthread_cond_init ローカルメモリ系 pthread_key_create,pthread_key_delete,pthread_getspecific, pthread_setspecific 15

16 RP-1 での MULi の基本性能 RP-1 プロセッサ ( 早稲田大学, ルネサス, 日立 ) SH-4A (600MHz) 4 core (μsec) create/join 173 倍 (μsec) mutex_lock/unlock 倍 MULi (1Core) /SH-C MULi (4Core) /SH-C SH-Linux (1Core) /GCC スレッド生成 終了オーバヘッド MULi (1Core) /SH-C MULi (4Core) /SH-C SH-Linux (1Core) /GCC ロック獲得 解放オーバヘッド pthread_create/join を多用するプログラムの実行が可能 軽量なスレッド実行基盤 OSCAR コンパイラとの親和性が高い 16

17 研究成果 (1) 軽量なマルチスレッドプログラムの実行基盤 (2)OS による MMU を用いたスクラッチパッドメモリの管理方式 (3) 汎用 OS とマルチコアに特化した専用 OS を連携動作させる機構 (4) 汎用 OS から動的再構成可能プロセッサを制御する機構 17

18 (2)OS による MMU を用いたスクラッ チパッドメモリの管理方式 スクラッチパッドメモリ (SPM) 低消費電力 ( ) キャッシュメモリと同等のアクセス性能 ( ) 主記憶 SPM 間のデータ転送はソフトウェア制御 ( ) 適切な割当て管理をすれば有効なメモリ資源 RP-1 の各コアにオンチップ RAM(SPM) がある 128KB/ コア ローカルアクセス :1cycle バス経由アクセス :5cycle~(case by case) バス経由で他コア上のオンチップ RAM にもアクセス可能 MMU アドレス変換対象 18

19 従来の SPM 管理方式 静的に SPM を割り当てる方式 コンパイラやプログラマがアクセス頻度の高いコードやデータ領域を明示的に SPM へ配置 < 利点 > 性能や省電力面で非常に効果あり < 問題点 > プログラム実行環境が限定的 - SPM の容量や物理アドレスに依存したコード - あらかじめ実行するタスクやタスク数を限定 - I/O 処理やマルチプロセス下における OS による動的な挙動は想定外 システムソフトウェアによる動的な SPM 管理 19

20 本研究の目標と方針 Future のページ管理に,SPM 管理の枠組みをマルチコア向けに導入 (1) マルチプロセス マルチコアという擾乱の多い環境でも SPM を活用できるようにする. MMU 例外を契機とした SPM 割当て Code,Data,Stack,Heap どの領域にも適用可能 コンパイラヒントや OS が得る情報などを基にした SPM 割当て 実行するコードはメモリアーキテクチャに依存しない. (2) 各コアの SPM を共有メモリとして活用する. 従来のメモリアーキテクチャ SPM は各コア固有のメモリ資源 本研究でのアプローチ 全コアの SPM を共有メモリとして仮想化した新たな記憶階層 20

21 メモリ管理の概要 SH-4A の MMU を活用し, 頻繁にアクセスする仮想アドレスに対して,OS がページ単位で SPM を動的に割り当てる. プロセスプロセスプロセス dat dat dat Future プロセス管理 メモリ管理 コア0 MMU コア1 MMU コアn MMU SPM#0 SPM#1 SPM#n main memory 21

22 本研究のメモリ階層 SPM という新たな記憶階層を追加 ここのページ IN/OUT は OS の仕事 4KB ページ 仮想アドレス空間 SPM#n SPM#1 SPM#0 PageB Cache PageA 主記憶 MMU (TLB) PageB PageA ハードウェアによるデータ転送 仮想アドレスの流れ OS によるデータ転送 物理アドレスの流れ 22

23 メモリ管理の概要 OS のメモリアロケーションの主な流れ 1MMU のページフォルト例外を契機とする 2 ページ単位で主記憶あるいは SPM を割り当てる どちらのメモリ資源を割当てるかは SPM アロケーション戦略で決める SPM を割当てる際には, 自コアの SPM を割当てる 他コアの SPM は参照のみ 3SPM が不足した場合, 主記憶へページアウトして,SPM ページを確保する SPM への割り当てをあきらめ, 主記憶を割当てる 23

24 マルチコアにおける SPM 共有 Core#0 Core#1 Core#n CPU#0 CPU#1 CPU#n Cache Cache Cache SPM#0 PageA SPM#1 SPM#n 他コアの SPM 上にページが割当てられていれば参照 各コアの見かけ上の SPM サイズが増大 ( ) ただし, 外部バス経由で SPM を参照する必要あり ( ) キャッシュメモリを併用してアクセス性能をカバー 24

25 実装 RP-1 プロセッサ ( 早稲田大学, ルネサス, 日立 ) SH-4A (600MHz) 4 core SPM :128KB 4 core MMU: ソフトウェア TLB ハンドリング TLB ミス例外が発生時に動的な情報を収集 OS:Future 2 層構造ページテーブル SPM ページフレームの管理 各コアごとに SPM ページフレームの割り当て状況を管理 SPM のアロケーション戦略 SPM 割り当て対象 スタック, ヒープ, データ アクセス頻度の高いセクションをあらかじめ OS へ指定 SPM 割当て抑制機能 空きがなくなり次第割当てを抑制 25

26 評価 使用したベンチマークプログラム Radix ( 基数ソート ) FFT ( 高速フーリエ変換 ) 1 プロセス 4 スレッド実行 SPM 容量よりも多いデータを扱うようにパラメータを設定 実行性能と消費電力を計測 プログラムの核の部分で測定 ( 初期化処理と終了処理を除く ) SPM を活用しない場合に対する,OS で SPM を管理した場合の比率を算出 ( 同一バイナリで実測 ) コンパイラの静的解析によるヒントや特別な動的情報を得ないでどの程度の性能改善が図れるか? 26

27 実行性能の比率 120.0% 100.0% Radix 同等約 50% の改善 80.0% 60.0% 40.0% 20.0% 0.0% 27 16,384 (1056KB) 32,768 (1184KB) 65,536 (1440KB) 131,072 (1952KB) Execution Ratio 262,144 (2976KB) Sort Key Numbers 120.0% 100.0% 80.0% 60.0% 40.0% 20.0% 0.0% FFT 約 30% の改善 4,096 (168KB) 16,384 (552KB) 65,536 (2092KB) Complex Doubles 同等 Execution Ratio

28 消費エネルギーの比率 120.0% 100.0% Radix 約 30% の改善 120.0% FFT 同等同等約 22% の改善 100.0% 80.0% 60.0% 40.0% 80.0% 60.0% 40.0% 20.0% 0.0% 16,384 32,768 65, , ,144 Energy ratio 20.0% Sort Key Numbers 0.0% (1056KB) (1184KB) (1440KB) (1952KB) (2976KB) 4,096 (168KB) 16,384 (552KB) 65,536 (2092KB) Energy ratio Complex Doubles 28

29 考察とまとめ マルチコア環境での評価 特別なメモリアロケーション戦略なしに, 性能比率を Radix で約 50%,FFT で約 30% 改善できた. SPM 管理による処理オーバヘッドは増加したが,SPM 活用による性能改善あり. データサイズが大きい場合は,SPM を活用しない場合と同等の値を示した. SPM を使い切ったものの性能向上には至らなかった. ( 最適な SPM 割り当てが行えなかった ) 現状では OS がページ単位で SPM を動的に割当てる枠組みを試作したのみ SPMに対するメモリアロケーション戦略を最適化することで, 性能向上 消費エネルギー削減の余地がある 29

30 研究成果 (1) 軽量なマルチスレッドプログラムの実行基盤 (2)OS による MMU を用いたスクラッチパッドメモリの管理方式 (3) 汎用 OS とマルチコアに特化した専用 OS を連携動作させる機構 (4) 汎用 OS から動的再構成可能プロセッサを制御する機構 30

31 (3) 汎用 OS とマルチコアに特化し た専用 OS を連携動作させる機構 研究の動機 Future/MULi によりマルチスレッドプログラムを軽量に管理 制御することはできた しかし, 従来の汎用 OS の API などの利便性が不足 並列演算向け専用 OS と汎用 OS を連携させるハイブリッド OS 構成 2 つの OS を適材適所に利用し 利便性と演算性能を両立 31

32 ハイブリッド OS の概念 マルチコアプロセッサ上で複数の OS を並列実行 VM は使わず, ハードウェアをマスターとなる汎用 OS が管理 汎用 OS から Future を起動する仕組み Future に備えていない GUI, ネットワーク, ファイル I/O の処理を, 汎用 OS 側で補う Future 用アプリケーションプログラム MULi 他システム ネットワーク Linux I/O 代行処理 OS 連携機構 プロセス管理 Future メモリ管理 スレッド制御 CPU#0 CPU#1 CPU#2 CPU#3 GUI ファイル main memory SH-4A マルチコア (4 コア ) I/O 処理 並列演算処理 32

33 33 OS 連携機構で提供する機能 複数 OS の同時実行 Linux 側がマスターとなり,Future のカーネルをロードし ブートする Linux からの Future プロセスの実行 Linux ファイルシステム上で管理している Future 用プログラムを Linux からロードし Future 上で実行 Future 用プログラム起動時に CPU コア数を指定 ファイル入出力の代行 Future 用プログラムの I/O 系システムコールを Linux へフォワードし,Linux で代行 Linux で管理している I/O を利用可能 Future プログラムから open/close/read/write が可能 33

34 OS 連携機構の仕組み ( 概念図 ) デバイスドライバを介した OS 間通信で OS を連携 Future 側プロセスと 1 対 1 で対応する Linux 側プロセスが Future プログラムの起動制御や ファイル入出力の代行処理などを行う Linux 側プロセス #2 Linux 側プロセス #1 Future プログラム制御 システムコール代行処理 1 対 1 対応 Future 側プロセス #2 Future 側プロセス #1 MULi スレッド管理 User Level Linux Future プロセス管理 デバイスドライバ OS 間通信 Future システムコール 例外 割込み処理 スレッド制御 Kernel Level CPU#0 CPU#1 CPU#2 CPU#3 Hardware Level 34

35 35 Linux 側のデバイスドライバの設計 デバイスドライバに対するシステムコールおよび OS 間通信のメッセージによってFutureと連携 read/write Linux 通信バッファ Future とのメッセージ送受信 mmap ioctl Future の起動 プロセスの生成などの操作 Future 用メモリ領域へのアクセス Future Future 用メモリ領域 ハンドラ名 read write mmap ioctl(boot_future) ioctl(create_proc) ioctl(run_proc) 機能 FutureからOS 間通信のメッセージを受信 FutureへOS 間通信のメッセージを送信 Future 用領域をLinuxプロセスのアドレス空間にマップ CPU#1のリセットベクタを指定し,CPU#1を起動させる Futureのプロセス情報の生成 35 Futureプロセスの実行依頼を送信する

36 36 OS 連携機構のまとめ OS 連携機構のための各機能を実現 Linux から Future の起動,Future 用プログラムの起動を可能にした 今回は Future を連携させたが,OS 連携の I/F を使えば, 他 OS と Linux との連携も実現可能である Challenge してみたい! Future 用プログラムからのファイル I/O が利用可能 read で約 43%,write で約 10% の速度低下がみられたが, 画像処理, 計算処理等の入出力データを汎用 OS 上で管理できることは有用である 意外と便利! 36

37 研究成果 (1) 軽量なマルチスレッドプログラムの実行基盤 (2)OS による MMU を用いたスクラッチパッドメモリの管理方式 (3) 汎用 OS とマルチコアに特化した専用 OS を連携動作させる機構 (4) 汎用 OS から動的再構成可能プロセッサを制御する機構 37

38 (4) 汎用 OS から動的再構成可能プ ロセッサを制御する機構 38 近年, 動的再構成可能プロセッサを搭載したマルチコアも登場 RP-X には 4 つの動的再構成可能プロセッサ (FE-GA) が搭載されている 演算内容に合わせてハードウェアを再構成して高い性能を得られる OS による仮想化があまり行われておらず, 直接プログラムから制御することが多い 汎用 OS から動的再構成可能プロセッサを制御する機構を提供しよう! 38

39 動的再構成可能プロセッサ制御 機構の設計概要 動的再構成可能プロセッサに対する基本操作 制御レジスタを変更する操作 データを配置するローカルメモリへの入出力データの転送 これらをデバイスドライバと, それをラップするライブラリ経由で操作 39 システムコール I/F Linux ユーザプロセス ライブラリ API User Level read/write デバイスドライバ Linux ioctl Kernel Level ローカルメモリ レジスタ 動的再構成可能プロセッサ Hardware Level 39

40 動的再構成可能プロセッサ制御 機構の設計 (1) Linux デバイスドライバの設計 ローカルメモリ (CRAM) 入出力, 制御レジスタの操作, 同期制御のためのインターフェースをシステムコールとして提供 40 表 : 制御ドライバの主なインターフェース ハンドラ名 機能 read/write CRAMへの入出力を行う lseek CRAMの入出力位置を指定 ioctl(get_reg) 指定した制御レジスタの値を取得 ioctl(set_reg) 指定した制御レジスタの値を設定 poll FE-GAの同期制御を行う 40

41 動的再構成可能プロセッサ制御 機構の設計 (2) 制御ライブラリの設計 ユーザプロセスに対して前述のデバイスドライバのシステムコールをラップしたライブラリを提供 41 表 :FE-GA 制御ライブラリの API の例 関数名 機能 fega_open FE-GAの制御ドライバをオープン fega_setconfig コンフィグレーションデータをロード fega_start FE-GAによる演算を開始 fega_stop FE-GAの動作を停止 cram_seek CRAMの読み書き位置を設定 41

42 42 コード記述の例 従来手法のコード // コンフィグレーションは予め開発ツールで転送 //cram への書込み for(i=0; i<size; i++){ *(volatile unsigned short *) 0xfec (0x2*i) = data[i]; } //FE-GAの起動 *(volatile unsigned long *) 0xfec30008 = 1; *(volatile unsigned long *) 0xfec30000 = (0x ); //cram からの読込み for(i=0; i<size; i++){ result[i] = *(volatile unsigned short *) 0xfec (0x2*i); } 本システムを利用したコード // ドライバのオープン fd = fega_open (); // コンフィグレーションの設定 set_config(fd,./config_data ); //cramへの書込み cram_seek(fd,0,0); write(fd, data, size); //FE-GA の起動 fega_start(fd); //cram からの読込 cram_seek(fd, 1, 0); read(fd, result, size); // ドライバのクローズ fega_close(fd); //arg1:cram 番号,arg2:offset 42

43 動的再構成可能プロセッサ制御 機構のまとめ Linux と連携して動的再構成可能プロセッサを利用可能とした Linux のファイルシステムや I/O を利用可能 コンフィグレーションやデータをファイルシステムで管理できるので便利! 他の Linux プロセスとの同時実行も可能 なお,OS 連携機構および動的再構成可能プロセッサ制御機構については, 情報処理学会第 72 回全国大会 3L-5 マルチコア CPU における OS の資源管理方式の研究 で発表します

44 全体のまとめ マルチコアプロセッサにおけるプログラム実行基盤の研究について発表した. (1) 軽量なマルチスレッドプログラムの実行基盤 (2)OS による MMU を用いたスクラッチパッドメモリの管理方式 (3) 汎用 OS とマルチコアに特化した専用 OS を連携動作させる機構 (4) 汎用 OS から動的再構成可能プロセッサを制御する機構 学生の研究であるため, 卒業と同時に止まってしまう研究もある もったいない 世代交代をスムーズに行い, 残された研究課題を追 究したい! 44

45 全体のまとめ やっとプログラム実行基盤の基礎が整ったところ 残された課題は多い - スレッドスケジューラ -SPM メモリアロケーション -8 コア 16 コア対応のプロセス管理 - コンパイラとの連携 - Linux,Future 意外の OS を対象とした OS 連携機構 - 動的再構成可能プロセッサ制御におけるデータ転送制御などを追究すると楽しそう 45

46 (END) 46

OS

OS Operatig Systems カーネルとデバイスドライバ 2019-03 1 OS の構成要素 シェル ワープロ ブラウザ さまざまなソフトウェア ] ^ _ Z ` a b c d e ` f Y Z [ \ プロセス管理通信制御ファイルシステム メモリ管理割込み制御タイマ管理 デバイスドライバ 管理プログラム 基本ライブラリ デバイスドライバ CPU メモリ ストレージ さまざまなハードウェア

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 4. メモリ管理 (1) 概要メモリ管理の必要性静的メモリ管理と動的メモリ管理スワッピング, 仮想記憶ページングとセグメンテーション 2008/5/ 20 メモリ管理 (1) 1 メモリはコンピュータの 5 大構成要素 装置 ( キーボード, マウス ) CPU ( 中央演算装置 ) 出 装置 ( モニタ, プリンタ ) 主記憶装置 ( メインメモリ ) 外部記憶装置 (HDD) 2008/5/ 20

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 メモリ管理 (1) 第 x 講 : 平成 20 年 10 月 15 日 ( 水 ) 2 限 S1 教室 今日の講義概要 メモリ管理の必要性 静的メモリ管理と動的メモリ管理 スワッピング, 仮想記憶 ページングとセグメンテーション 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/

More information

今週の進捗

今週の進捗 Virtualize APIC access による APIC フック手法 立命館大学富田崇詠, 明田修平, 瀧本栄二, 毛利公一 2016/11/30 1 はじめに (1/2) マルウェアの脅威が問題となっている 2015年に4 億 3000 万以上の検体が新たに発見されている マルウェア対策にはマルウェアが持つ機能 挙動の正確な解析が重要 マルウェア動的解析システム : Alkanet 仮想計算機モニタのBitVisorの拡張機能として動作

More information

本文ALL.indd

本文ALL.indd Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法河辺峻田口成美古谷英祐 Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法 Performance Measurement Method of Cache Coherency Effects on an Intel Xeon Processor System 河辺峻田口成美古谷英祐

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

10-vm1.ppt

10-vm1.ppt オペレーティングシステム ~ 仮想記憶 (1) ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/06/19 OS の目的 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと メモリをアプリケーション自身が管理しなければならない

More information

Operating System 仮想記憶

Operating System 仮想記憶 Operating System 仮想記憶 2018-12 記憶階層 高速 & 小容量 ( 高価 ) レジスタ アクセスタイム 数ナノ秒 容量 ~1KB CPU 内キャッシュ (SRAM) 数ナノ秒 1MB 程度 ランダムアクセス 主記憶 (DRAM) 数十ナノ秒 数 GB 程度 ランダムアクセス フラッシュメモリ (SSD) 約 100 万倍 シーケンシャルアクセス 磁気ディスク (HDD) 数十ミリ秒

More information

Microsoft PowerPoint - OS09.pptx

Microsoft PowerPoint - OS09.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 ページング パワーポイント 7 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 復習 主記憶管理

More information

MMUなしプロセッサ用Linuxの共有ライブラリ機構

MMUなしプロセッサ用Linuxの共有ライブラリ機構 MMU なしプロセッサ用 Linux の共有ライブラリ機構 大谷浩司 高岡正 近藤政雄 臼田尚志株式会社アックス はじめに μclinux には 仮想メモリ機構がないので共有ライブラリ機構が使えない でもメモリ消費抑制 ストレージ消費抑制 保守性の向上のためには 欲しい 幾つかの実装があるが CPU ライセンス 機能の制限のためにそのまま利用できない RidgeRun 社 (Cadenux 社 )

More information

Microsoft PowerPoint - ICD2011TakadaSlides.pptx

Microsoft PowerPoint - ICD2011TakadaSlides.pptx キャッシュウェイ割り当てと コード配置の同時最適化による メモリアクセスエネルギーの削減 九州大学 高田純司井上弘士京都大学石原亨 2012/8/9 1 目次 研究背景 組込みプロセッサにおけるエネルギー削減の必要性 キャッシュウェイ割り当て 提案手法 キャッシュウェイ割り当てとコード配置の組み合わせ 同時最適化 評価実験 まとめ 2012/8/9 2 組込みプロセッサの課題 研究背景 低消費エネルギー化,

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LAN 1. LAN,. NAT,., LAN. NTMobile Network Traversal with Mobilty [1]. NTMobile. OS TUN/TAP, LAN. 2. NTMobile NTMobile NAT, IPv4/IPv6,,. NTMobile. DC Direction Coordinator. NTMobile. DC,. NTMobile NTMfw.

More information

Microsoft PowerPoint - No6note.ppt

Microsoft PowerPoint - No6note.ppt 前回 : 管理 管理の目的 : の効率的利用 ( 固定区画方式 可変区画方式 ) しかし, いかに効率よく使ったとしても, 実行可能なプログラムサイズや同時に実行できるプロセス数は実装されているの大きさ ( 容量 ) に制限される 256kB の上で,28kB のプロセスを同時に 4 個実行させることはできないか? 2 256kB の上で,52kB のプロセスを実行させることはできないか? 方策 :

More information

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード]

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード] 演算 / メモリ性能バランスを考慮した CMP 向けオンチップ メモリ貸与法の提案 九州大学 林徹生今里賢一井上弘士村上和彰 1 発表手順 背景 目的 演算 / メモリ性能バランシング 概要 アクセスレイテンシの削減とオーバーヘッド 提案手法の実現方法 着目する命令 (Cell プロセッサへの ) 実装 性能評価 姫野ベンチマーク Susan@MiBench おわりに 2 チップマルチプロセッサ (CMP)

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

Microsoft PowerPoint - OS02.pptx

Microsoft PowerPoint - OS02.pptx オペレーティングシステム 第 2 回 割り込みと OS の構成 http://www.info.kindai.ac.jp/os 38 号館 4 階 N-411 内線 5459 takasi-i@info.kindai.ac.jpkindai ac プログラムの実行中の動作 CPU プログラム キーボードからの入力 遊び 画面への出力 遊び IO 装置 入力処理 出力処理 CPU の遊び時間ができてしまう

More information

Microsoft PowerPoint - OS12.pptx

Microsoft PowerPoint - OS12.pptx # # この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました パワーポイント 7 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です # 主記憶管理 : ページ置き換え方式

More information

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt メモリ アーキテクチャ 3 仮想記憶 計算機アーキテクチャ ( 第 15 回目 ) 今井慈郎 (imai@eng.kagawa-u.ac.jp) 仮想記憶とは コンピュータ上に実装されている主記憶よりも大きな記憶領域を仮想的に提供する仕組み メモリ空間の一部をハードディスク装置等の大容量外部記憶にマッピングし実装したメモリ量以上のメモリ空間を利用できる環境をユーザに提供 実装したメモリ : 実記憶

More information

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx チップマルチプロセッサにおける データ プリフェッチ効果の分析 福本尚人, 三原智伸九州大学大学院システム情報科学府情報理学専攻 井上弘士, 村上和彰九州大学大学院システム情報科学研究院情報理学部門 2007/6/1 1 発表手順 研究の背景 目的 効果に基づくプリフェッチの分類法 マルチプロセッサ チップマルチプロセッサ 性能モデル式による定性的評価 定量的評価 まとめ 2007/6/1 2 研究の背景

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

Microsoft PowerPoint - os ppt [互換モード]

Microsoft PowerPoint - os ppt [互換モード] 5. メモリ管理 (2) 概要ページ管理 式ページ置換アルゴリズム 28/5/23 メモリ管理 (2) 1 ページング ( 復習 ) 仮想アドレス空間, 主記憶 ( 実アドレス空間 ) を固定サイズのページに分割 仮想アドレス空間のページを主記憶 ( メモリ ) のページに対応させる ページテーブル ( 変換表 ) を実メモリ上に保持 ページを単位としたアドレス変換 ( 仮想ページ番号, オフセット

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

OS

OS Operatig System 仮想記憶 2017-12 記憶階層 高速 & 小容量 ( 高価 ) レジスタ アクセスタイム 数ナノ秒 容量 ~1KB ランダムアクセス ランダムアクセス CPU 内キャッシュ (SRAM) 主記憶 (DRAM) フラッシュメモリ 数ナノ秒 数十ナノ秒 1MB 程度 数 GB 程度 シーケンシャルアクセス 磁気ディスク (HDD) 光磁気ディスク (CD-R DVD-RW

More information

マルチスレッドアーキテクチャにおける スレッドライブラリの実装と評価

マルチスレッドアーキテクチャにおける スレッドライブラリの実装と評価 修士学位論文発表 マルチスレッドアーキテクチャにおける システムソフトウェアの研究 A study on Systems Software for Multithreaded Architecture 2004 2/12 東京農工大学大学院工学研究科情報コミュニケーション工学専攻並木研究室 03646109 笹田耕一 1 背景 マルチスレッドアーキテクチャプロセッサ 1 チップ上で複数の命令流 (

More information

PowerPoint Presentation

PowerPoint Presentation コンピュータ科学 II 担当 : 武田敦志 http://takeda.cs.tohoku gakuin.ac.jp/ 今日の話 オペレーティングシステム コンピュータを利用するための基本ソフト オペレーティングシステムの役割 プロセスの管理主記憶の管理出入力の管理ファイルの管理 タイムシェアリングシステム仮想記憶排他制御ディレクトリ構造

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 入出力 (I/O) 制御 今日の講義概要 入出力デバイスのハードウェア 入出力デバイスの制御 入出力デバイスのソフトウェア 第 6 講 : 平成 20 年 10 月 22 日 ( 水 ) 2 限 S1 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/

More information

Microsoft PowerPoint - OS02.ppt

Microsoft PowerPoint - OS02.ppt オペレーティングシステム 第 2 回割り込みとOSの構成 http://www.info.kindai.ac.jp/os 38 号館 4 階 N-411 内線 5459 takasi-i@info.kindai.ac.jp プログラムの実行中の動作 CPU プログラム キーボードからの入力 遊び 画面への出力 遊び IO 装置 入力処理 出力処理 CPU の遊び時間ができてしまう 単一プログラムの問題点

More information

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED

組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 Copyright 2013 FUJITSU COMPUTER TECHNOLOGIES LIMITED 組込み Linux の起動高速化 株式会社富士通コンピュータテクノロジーズ 亀山英司 1218ka01 組込み Linux における起動高速化 組込み Linux の起動時間短縮について依頼あり スペック CPU : Cortex-A9 ( 800MB - single) RAM: 500MB 程度 要件 起動時間 画出し 5 秒 音出し 3 秒 終了時間 数 ms で電源断 1 課題と対策 問題点

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 計算機アーキテクチャ第 11 回 マルチプロセッサ 本資料は授業用です 無断で転載することを禁じます 名古屋大学 大学院情報科学研究科 准教授加藤真平 デスクトップ ジョブレベル並列性 スーパーコンピュータ 並列処理プログラム プログラムの並列化 for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } プログラムの並列化 x[0] = a[0] + b[0];

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Foundation アプライアンス スケーラブルシステムズ株式会社 サーバ クラスタの課題 複数のシステムを一つの だけで容易に管理することは出来ないだろうか? アプリケーションがより多くのメモリを必要とするのだけど ハードウエアの増設なしで対応出来ないだろうか? 現在の利用環境のまま 利用できるコア数やメモリサイズの増強を図ることは出来ないだろうか? 短時間で導入可能で また 必要に応じて 柔軟にシステム構成の変更が可能なソリューションは無いだろうか?...

More information

160311_icm2015-muramatsu-v2.pptx

160311_icm2015-muramatsu-v2.pptx Linux におけるパケット処理機構の 性能評価に基づいた NFV 導 の 検討 村松真, 川島 太, 中 裕貴, 林經正, 松尾啓志 名古屋 業 学 学院 株式会社ボスコ テクノロジーズ ICM 研究会 2016/03/11 研究 的 VM 仮想 NIC バックエンド機構 仮想化環境 仮想スイッチ パケット処理機構 物理環境 性能要因を考察 汎 IA サーバ NFV 環境に適したサーバ構成を検討

More information

メモリ管理

メモリ管理 メモリ管理 (2) 思い出そ ~~ う 物理アドレスと論理アドレス 論理アドレス空間 アドレス変換 メモリ管理ユニット (MMU) ページ ページテーブル,TLB 保護違反, ページフォルト ページング APP CPU OS OS が提供するメモリ関連 API (1) 1. 論理アドレス空間生成 = プロセスの生成 プロセスの作成 ( プログラムの起動 ) 2. 論理的なメモリ ( 仮想メモリ )

More information

Microsoft PowerPoint - OS11.pptx

Microsoft PowerPoint - OS11.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 主記憶管理 : 仮想記憶 復習 : 主記憶管理

More information

VXPRO R1400® ご提案資料

VXPRO R1400® ご提案資料 Intel Core i7 プロセッサ 920 Preliminary Performance Report ノード性能評価 ノード性能の評価 NAS Parallel Benchmark Class B OpenMP 版での性能評価 実行スレッド数を 4 で固定 ( デュアルソケットでは各プロセッサに 2 スレッド ) 全て 2.66GHz のコアとなるため コアあたりのピーク性能は同じ 評価システム

More information

Microsoft PowerPoint - No7note.ppt

Microsoft PowerPoint - No7note.ppt 仮想記憶 (2) 実際に存在する主記憶 ( 物理メモリ ) の容量に制限されない 仮想的な記憶空間 をユーザに提供する 仮想記憶の基本アイディア 主記憶に入りきらない大きなプログラムでも, ある時点で実行されているのはプログラムの一部のみ, 必要となるデータも一時には一部のデータのみ ( 参照の局所性 ) プログラム全体はディスク装置に入れておき, 実行時に必要な部分を主記憶にもってくればよい 主記憶容量

More information

Microsoft PowerPoint - OS02.pptx

Microsoft PowerPoint - OS02.pptx 実行プロセス数と処理効率処理率実行プロセス数効プログラムの実行中の動作 オペレーティングシステム 第 2 回割り込みと の構成 http://www.info.kindai.ac.jp/ 38 号館 4 階 N-411 内線 5459 takasi-i@info.kindai.ac.jp プログラム キーボードからの入力 画面への出力 遊び 遊び 入力処理 出力処理 の遊び時間ができてしまう 単一プログラムの問題点

More information

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換)

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換) TOPPERS プロジェクトプレス発表 2009 年 4 月 23 日 TOPPERS/FMP カーネル TraceLogVisualizer(TLV) 本田晋也 名古屋大学大学院情報科学研究科附属組込みシステム研究センター (NCES) 助教 honda@ertl.jp 1 TOPPERS/FMP カーネル 2 組込みシステムにおけるマルチプロセッサの利用 大きく二つの理由により利用が進んでいる

More information

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果

Pervasive PSQL v11 のベンチマーク パフォーマンスの結果 Pervasive PSQL v11 のベンチマークパフォーマンスの結果 Pervasive PSQL ホワイトペーパー 2010 年 9 月 目次 実施の概要... 3 新しいハードウェアアーキテクチャがアプリケーションに及ぼす影響... 3 Pervasive PSQL v11 の設計... 4 構成... 5 メモリキャッシュ... 6 ベンチマークテスト... 6 アトミックテスト... 7

More information

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 科学技術振興調整費 中間成果報告書 若手任期付研究員支援 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 研究計画の概要 p.1 研究成果の概要 p.3 研究成果の詳細報告 1. 動的スケジューリング方式に関する研究 p.5 2. μitron 仕様の API の実装 p.7 3. 試作 LSI における OS 機能の検証 p.9 引用文献 成果の発表

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] // システムプログラム概論 メモリ管理 () 今日の講義概要 ページ管理方式 ページ置換アルゴリズム 第 5 講 : 平成 年 月 日 ( 月 ) 限 S 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/ // 第 5 講メモリ管理 () ページング ( 復習

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Oracle GRID Center Flash SSD + 最新ストレージと Oracle Database で実現するデータベース統合の新しい形 2011 年 2 月 23 日日本オラクル Grid Center エンジニア岩本知博 進化し続けるストレージ関連技術 高速ストレージネットワークの多様化 低価格化 10GbE FCoE 8Gb FC ディスクドライブの多様化および大容量 / 低価格化

More information

bitvisor_summit.pptx

bitvisor_summit.pptx BitVisor 内蔵の lwip で Alkanet ログの送信を試みる 命館 学システムソフトウェア研究室 下雄也, 明 修平, 瀧本栄, 利公 1 はじめに (1/4) 近年, マルウェアが増加しており, マルウェアの脅威が問題となっている マルウェアの脅威に対抗するためには, 多数のマルウェアを迅速に解析する必要がある システムコールトレーサ Alkanet Windows 上で動作するマルウェアを対象とし,

More information

TopSE並行システム はじめに

TopSE並行システム はじめに はじめに 平成 23 年 9 月 1 日 トップエスイープロジェクト 磯部祥尚 ( 産業技術総合研究所 ) 2 本講座の背景と目標 背景 : マルチコア CPU やクラウドコンピューティング等 並列 / 分散処理環境が身近なものになっている 複数のプロセス ( プログラム ) を同時に実行可能 通信等により複数のプロセスが協調可能 並行システムの構築 並行システム 通信 Proc2 プロセス ( プログラム

More information

2015_collabo_04

2015_collabo_04 Cortex-M にも広がってきたマルチコアプログラミング ~ARM コア搭載東芝汎用マイコン無料コラボセミナー 2015~ 株式会社エーアイコーポレーション TOPPERS グループ はじめに ~ARM コア搭載東芝汎用マイコン無料コラボセミナー 2015~ 2015/2/9 A. I. Corporation 2 講演内容 Cortex-A だけでなく Cortex-M においてもマルチコアを搭載した汎用マイコンが登場してきています

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Dell PowerEdge C6320 スケーラブルサーバアプライアンス 仮想化アプライアンスサーバ 最新のプロセッサを搭載したサーバプラットフォーム vsmp Foundation によるサーバ仮想化と統合化の適用 システムはセットアップを完了した状態でご提供 基本構成ではバックプレーン用のスイッチなどが不要 各ノード間を直接接続 冗長性の高いバックプレーン構成 利用するサーバプラットフォームは

More information

Microsoft PowerPoint - sp ppt [互換モード]

Microsoft PowerPoint - sp ppt [互換モード] システムプログラム概論 OS の役割と構成 第 1 講 : 平成 20 年 10 月 6 日 ( 月 ) 1 限 S1 教室 中村嘉隆 ( なかむらよしたか ) 奈良先端科学技術大学院大学助教 y-nakamr@is.naist.jp http://narayama.naist.jp/~y-nakamr/ 講義概要 ( 中村担当分 ) 内容 オペレーティングシステム (OS) 参考書 A.S. Tanenbaum,

More information

Microsoft PowerPoint - Android+TPMによるセキュアブート_KDDI研_後日配布用

Microsoft PowerPoint - Android+TPMによるセキュアブート_KDDI研_後日配布用 Android(ARM)+TPM による セキュアブート KDDI 研究所竹森敬祐 (Ph.D) Android OS は 通常利用においてシステム領域の完全性が維持されている 組み込み OS としても利用される Android OS のセキュアブートの意義を考察する 1 背景 : root 権限奪取とシステム改造の流れ 攻撃のシナリオ Step1: root 権限奪取アプリをユーザ領域にインストールし

More information

01-introduction.ppt

01-introduction.ppt オペレーティングシステム ~ イントロダクション ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/04/10 オペレーティングシステム 担当 : 山田浩史 ( やまだひろし ) mail: hiroshiy @ cc.tuat.ac.jp 質問等ありましたら気軽にメールをしてください 専門分野 オペレーティングシステムや仮想マシンモニタといった システムソフトウェア と呼ばれる分野

More information

POSIXプログラミング Pthreads編

POSIXプログラミング Pthreads編 POSIXプログラミング Pthreads 編 デジタルビジョンソリューション 中山一弘佐藤史明 参考図書 Pthreads プログラミング, Bradford Nichols, Dick Buttlar, Jacqeline Proulx Farrell, ISBN4-900900-66-4 Pthreads POSIX スレッド標準を実装したライブラリを Pthreads と呼ぶ C 言語のデータ型

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1 第一回輪講 Linux 渡邊研究室 4 年早川顕太 本の紹介 Linux エンジニア養成読本 編集 :SoftwareDesign 編集部 出版社 : 技術評論社 発売日 :2011 年 4 月 8 日 2 Linux とは? Linux とは 1991 年にリーナス トーバルズ氏 ( 当時 21 歳 ) により作られた UNIX 互換なカーネル 開発動機 Minix は教育用で機能が劣る 商用

More information

04-process_thread_2.ppt

04-process_thread_2.ppt オペレーティングシステム ~ 保護とシステムコール ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/05/08 復習 : OS の目的 ( 今回の話題 ) 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと 1 つしかプログラムが動作しない

More information

自己紹介 湯浅陽一 1999 年より Linux kernel 開発に参加 MIPS アーキテクチャのいくつかの CPU へ Linux kernel を移植

自己紹介 湯浅陽一 1999 年より Linux kernel 開発に参加 MIPS アーキテクチャのいくつかの CPU へ Linux kernel を移植 Kprobes による Embedded Linux kernel 動的解析手法 Yoichi Yuasa OSAKA NDS Embedded Linux Cross Forum #3 自己紹介 湯浅陽一 1999 年より Linux kernel 開発に参加 MIPS アーキテクチャのいくつかの CPU へ Linux kernel を移植 Kprobes とは Linux kernel デバッグ機能の一つ

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 OpenCV による基礎的な例 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2007.07.03 リアルタイム処理と高速化 リアルタイム = 高速 ではない 目標となる時間制約が定められているのがリアルタイム処理である.34 ms かかった処理が 33 ms に縮んだだけでも, それによって与えられた時間制約が満たされるのであれば,

More information

Microsoft Word ●IntelクアッドコアCPUでのベンチマーク_吉岡_ _更新__ doc

Microsoft Word ●IntelクアッドコアCPUでのベンチマーク_吉岡_ _更新__ doc 2.3. アプリ性能 2.3.1. Intel クアッドコア CPU でのベンチマーク 東京海洋大学吉岡諭 1. はじめにこの数年でマルチコア CPU の普及が進んできた x86 系の CPU でも Intel と AD がデュアルコア クアッドコアの CPU を次々と市場に送り出していて それらが PC クラスタの CPU として採用され HPC に活用されている ここでは Intel クアッドコア

More information

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要

C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 1 コンピュータの構造 1.1 パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

メモリ管理

メモリ管理 メモリ管理 (1) メモリ 思い出そう プログラムの実行のために, ありとあらゆるものがメモリに格納されなくてはならなかったことを グローバル変数, 配列 局所変数 配列 ( スタック ) 実行中に確保される領域 (malloc, new) プログラムのコード メモリの 管理 とは 誰が, メモリの どの部分を, 今, 使ってよいかを記憶しておき, メモリ割り当て要求 にこたえることができるようにすること

More information

情報処理学会研究報告 IPSJ SIG Technical Report メニーコア混在型並列計算機におけるスレッド管理方式 1 長嶺精彦吉永一美 3 1 坂本龍一辻田祐一 3 並木美太郎 1 佐藤未来子 4 堀敦史 2 下沢拓 石川裕 本稿では, エクサコンピュータの実現に向けて今後主流となるメニ

情報処理学会研究報告 IPSJ SIG Technical Report メニーコア混在型並列計算機におけるスレッド管理方式 1 長嶺精彦吉永一美 3 1 坂本龍一辻田祐一 3 並木美太郎 1 佐藤未来子 4 堀敦史 2 下沢拓 石川裕 本稿では, エクサコンピュータの実現に向けて今後主流となるメニ メニーコア混在型並列計算機におけるスレッド管理方式 1 長嶺精彦吉永一美 3 1 坂本龍一辻田祐一 3 並木美太郎 1 佐藤未来子 4 堀敦史 2 下沢拓 石川裕 本稿では, エクサコンピュータの実現に向けて今後主流となるメニーコアアーキテクチャを備えるシステムを対象とした基盤ソフトウェアについて報告する. 本研究では, メニーコア向け OS の軽量なスレッド管理方式と, メニーコア側の OS 内部処理の軽減のために

More information

Microsoft PowerPoint - pc11.ppt

Microsoft PowerPoint - pc11.ppt 本日の内容 コンピュータのしくみ ( 第 11 回 ) 9 章 オペレーティングシステム (OS) 中田明夫 ( 情報科学研究科 ) ( コンピュータのしくみ H17 第 11 回 ) 1 ( コンピュータのしくみ H17 第 11 回 ) 2 復習 : コンピュータの構成 ソフトウェアとハードウェア 復習 : ハードウェアの構成 複数の構成要素からなる コンピュータ ハードウェア ソフトウェア ハードウェア

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

Microsoft PowerPoint - OS08.pptx

Microsoft PowerPoint - OS08.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 管理 割り当て パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 復習 管理 ユーザに独立した論理アドレス空間を提供

More information

Microsoft Word - nvsi_050110jp_netvault_vtl_on_dothill_sannetII.doc

Microsoft Word - nvsi_050110jp_netvault_vtl_on_dothill_sannetII.doc Article ID: NVSI-050110JP Created: 2005/10/19 Revised: - NetVault 仮想テープ ライブラリのパフォーマンス検証 : dothill SANnetⅡSATA 編 1. 検証の目的 ドットヒルシステムズ株式会社の SANnetll SATA は 安価な SATA ドライブを使用した大容量ストレージで ディスクへのバックアップを行う際の対象デバイスとして最適と言えます

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BitVisor のための OS の状態の復元機能 2013 年 12 月 6 日 電気通信大学河﨑雄大大山恵弘 1 BitVisor Summit 2 2013/12/6 背景 近年 マルウェアなどの多くのセキュリティ脅威が発見されている OS 上のセキュリティシステムで監視や防御をするのが一般的な方法である しかし OS が乗っ取られてしまうと無効化されてしまう 監視や防御などの処理は OS の外で行いたい!

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 部内向けスキルアップ研修 組込み OS 自作入門 2014 年 2 月 10st ステップ担当 : 中村 目次 はじめに OSの役割 メモリ管理 メモリ管理実装 プログラムの実行 まとめ はじめに 前回やったこと OS の原型を作成 今回やること 9th ステップでは CPU 時間 という資源管理 本ステップでは メモリ という資源管理 10.1 OS の役割 10.1.1 コンピュータの 3 大要素

More information

cmpsys15w07_os.ppt

cmpsys15w07_os.ppt 情報システム論 第 7 週ソフトウェアシステム Operating System (part I) 根來 均 ソフトウェア (Software) とは プログラムと同義もしくは各種プログラムの総称 ソフトウェアは 記憶装置上などに 電子的にのみ (0/1 で記録された情報として ) 存在する ソフトウェアに対して 物理的に存在する CPU 等の各種装置をハードウェア Hardware と呼ぶ 例えば

More information

EnSightのご紹介

EnSightのご紹介 オープン CAE シンポジウム 2014 汎用ポストプロセッサー EnSight の大規模データ対応 CEI ソフトウェア株式会社代表取締役吉川慈人 http://www.ceisoftware.co.jp/ 内容 大規模データで時間のかかる処理 クライアント サーバー機能 マルチスレッドによる並列処理 サーバーの分散処理 クライアントの分散処理 ( 分散レンダリング ) EnSightのOpenFOAMインターフェース

More information

Monthly Research / セキュアハードウェアの登場とその分析

Monthly Research / セキュアハードウェアの登場とその分析 Monthly Research セキュアハードウェアの登場とその分析 株式会社フォティーンフォティ技術研究所 http://www.fourteenforty.jp Ver2.00.02 1 セキュアハードウェア ハードウェアレベルでのセキュリティ拡張や それを実装したハードウェアが提案されている 通常のマイクロプロセッサを拡張することで柔軟性を確保する試みもある 今回は主に ARM TrustZone

More information

Microsoft PowerPoint - t-kubo07PN-LAMBDA-slide.ppt

Microsoft PowerPoint - t-kubo07PN-LAMBDA-slide.ppt リングネットワークにおける λコンピューティング環境に適した共有メモリアーキテクチャの設計と設計と評価 大阪大学大学院情報科学研究科大学院情報科学研究科村田研究室久保貴司 発表内容 研究の背景と目的 λコンピューティング環境 共有メモリアーキテクチャの設計と評価 設計 トポロジ メモリアクセスモデル キャッシュとメモリの一貫性制御 モデル化と解析 評価 まとめ 2007/6/14 PN 研究会 1

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ PASCO CORPORATION 2015

ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ PASCO CORPORATION 2015 ERDAS IMAGINE における処理速度の向上 株式会社ベストシステムズ 本セッションの目的 本セッションでは ERDAS IMAGINEにおける処理速度向上を目的として機器 (SSD 等 ) 及び並列処理の比較 検討を行った 1.SSD 及び RAMDISK を利用した処理速度の検証 2.Condorによる複数 PCを用いた並列処理 2.1 分散並列処理による高速化試験 (ERDAS IMAGINEのCondorを使用した試験

More information

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社

ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 ビッグデータ分析を高速化する 分散処理技術を開発 日本電気株式会社 概要 NEC は ビッグデータの分析を高速化する分散処理技術を開発しました 本技術により レコメンド 価格予測 需要予測などに必要な機械学習処理を従来の 10 倍以上高速に行い 分析結果の迅速な活用に貢献します ビッグデータの分散処理で一般的なオープンソース Hadoop を利用 これにより レコメンド 価格予測 需要予測などの分析において

More information

Microsoft PowerPoint - chap4_slide a.ppt

Microsoft PowerPoint - chap4_slide a.ppt 第 4 章オペレーティングシステム ソフトウェアとオペレーティングシステム 4 章の概要 情報を処理するため ハードウエアを全て理解して 機械語でプログラムを実行する??? メモリ xxx に yyy を書き込んでディスクを呼び出し ディスクの aaa のアドレスから bbb まで読み出す 転送は 1 回で行えないので分割して... -> いちいちこんな指令を書いてられるかい! オペレーティングシステム

More information

Microsoft Word ●書式付IO性能_杉崎_ _更新__ doc

Microsoft Word ●書式付IO性能_杉崎_ _更新__ doc 2.2.3. 書式付 I/O 性能 上智大学南部伸孝富士通株式会社内藤俊也 杉崎由典 1. はじめに I/O 処理に要する時間の内訳は システムの実 I/O 時間 + ランタイムの書式処理時間となっている プログラムを用いた書式付 I/O 時間を富士通コンパイラで評価した所 システム時間が約 12% であり ランタイム時間が約 88% ということが確認された 即ち 書式付 I/O 性能は ランタイムの性能に大きく影響される

More information

05-scheduling.ppt

05-scheduling.ppt オペレーティングシステム ~ スケジューリング ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2014/06/01 復習 : プロセス 実行状態にあるプログラムのこと プログラムの実行に必要なものをひっくるめて指す テキスト領域 データ領域 スタック領域 CPU のレジスタ値 プログラムカウンタ など OS はプロセス単位で管理する メモリ Hard Disk CPU プロセス execute

More information

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2

アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Renesas Synergy TM プラットフォーム ThreadX リアルタイム OS 紹介 アジェンダ Renesas Synergy TM プラットフォーム構成 ThreadX とは ThreadX の状態遷移 ThreadX とμITRONの機能比較 まとめ ページ 2 Synergy プラットフォーム構成中核を担う ThreadX リアルタイム OS ご紹介部分 ページ 3 ThreadX

More information

スライド 1

スライド 1 1 システムコールフックを使用した攻撃検出 株式会社フォティーンフォティー技術研究所 http://www.fourteenforty.jp 取締役技術担当金居良治 2 お題目 System Call について System Call Protection System Call Hook 考察 3 System Call とは? ユーザアプリケーションからカーネルのサービスルーチンを呼び出す Disk

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション TCG-JRF セミナー講演資料 PCでの活用事例 : PC 実装に必要な対応項目 ソリューション例 2010 年 11 月 4 日 ( 株 ) 富士通研究所ヒューマンセントリックシステム研究所 アジェンダ Opal HDD 採用のモチベーション Opal HDDの特徴 PC 搭載 Opal HDDの初期設定 Shadow Area 開発における注意点 Opal HDDの具体的なアクセス方法 Opal

More information

スライド 1

スライド 1 Dispatch 0 年後学期 計算機アーキテクチャ第二 (O) アウトオブオーダ実行プロセッサとバックエンド フロントエンド 命令ウィンドウ : 命令を格納するバッファ ALU Dispatch 命令フェッチ, デコード, リネーミング バックエンド ディスパッチ (dispatch) : 命令ウィンドウに命令を格納する動作 発行 (issue, fire) : 命令ウィンドウから, データ依存が解消された命令を機能ユニットに送り出す動作

More information

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹

2014 年電子情報通信学会総合大会ネットワークシステム B DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 ネットワークシステム B- 6-164 DNS ラウンドロビンと OpenFlow スイッチを用いた省電力法 Electric Power Reduc8on by DNS round- robin with OpenFlow switches 池田賢斗, 後藤滋樹 早稲田大学基幹理工学研究科情報理工学専攻 1 研究の背景 n インターネットトラフィックが増大 世界の IP トラフィックは 2012

More information

hpc141_shirahata.pdf

hpc141_shirahata.pdf GPU アクセラレータと不揮発性メモリ を考慮した I/O 性能の予備評価 白幡晃一 1,2 佐藤仁 1,2 松岡聡 1 1: 東京工業大学 2: JST CREST 1 GPU と不揮発性メモリを用いた 大規模データ処理 大規模データ処理 センサーネットワーク 遺伝子情報 SNS など ペタ ヨッタバイト級 高速処理が必要 スーパーコンピュータ上での大規模データ処理 GPU 高性能 高バンド幅 例

More information

サーバに関するヘドニック回帰式(再推計結果)

サーバに関するヘドニック回帰式(再推計結果) 2012 年 3 月 日本銀行調査統計局 企業物価指数 サーバ に関するヘドニック回帰式 ( 再推計結果 ) 企業物価指数 サーバ の品質調整に適用するヘドニック回帰式について 1 最新のデータを用いて再推計しましたので その結果をお知らせします 1. サーバのヘドニック推計に関する基本方針 留意事項推計頻度 年 1 回 (2 月 ) 適用範囲 国内品 輸出品 輸入品に対し 同一の推計式を適用 2

More information

<4D F736F F D F B835E82CC8D8291AC8F88979D82F08FAC8C5E82A982C288C089BF82C88D5C90AC82C AC82B782E996A78C8B8D878C5E836E815B C695C097F18F88979D82F091678D8782B982BD8C768E5A8B

<4D F736F F D F B835E82CC8D8291AC8F88979D82F08FAC8C5E82A982C288C089BF82C88D5C90AC82C AC82B782E996A78C8B8D878C5E836E815B C695C097F18F88979D82F091678D8782B982BD8C768E5A8B テーマ名ビッグデータの高速処理を小型かつ安価な構成で達成する密結合型ハードウェアと並列処理を組合せた計算機システム組織名国立大学法人電気通信大学情報システム学研究科吉永務教授技術分野 IT 概要ビッグデータの高速処理を実現するために ストレージ 光通信ネットワーク FPGA SSD 等を密接に結合させたハードウェアと高効率の並列処理を組合せ 小型かつ安価なシステム構成でありながら Hadoop Impala

More information

Arcserve Unified Data Protection サーバ構成とスペック見積もり方法 2018 年 10 月 Arcserve Japan Ver

Arcserve Unified Data Protection サーバ構成とスペック見積もり方法 2018 年 10 月 Arcserve Japan Ver Arcserve Unified Data Protection サーバ構成とスペック見積もり方法 2018 年 10 月 Arcserve Japan Ver. 1.2 1 はじめに 本資料ではバックアップ要件に基づき Arcserve Unified Data Protection(UDP) の 管理サーバ と 復 旧ポイントサーバ を導入するサーバスペックの見積もり例を記載しています 見積もり例はバックアップ対象容量を

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2014-DPS-159 No.31 Vol.2014-MBL-71 No /5/16 仮想化環境における読込み書込み比率を考慮した動的 VM メモリ割り当て 1 坂本雅哉 1 山口実靖 近年, サーバの

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2014-DPS-159 No.31 Vol.2014-MBL-71 No /5/16 仮想化環境における読込み書込み比率を考慮した動的 VM メモリ割り当て 1 坂本雅哉 1 山口実靖 近年, サーバの 仮想化環境における読込み書込み比率を考慮した動的 VM メモリ割り当て 1 坂本雅哉 1 山口実靖 近年, サーバの消費電力増加, 設置スペース肥大化が問題となっており, その解決策の一つとして, 仮想化技術を用いて複数の仮想マシンを一台の物理マシンに集約する手法がある. 仮想化環境では, 仮想マシンを停止させることなくメモリの割り当て量を変更することが可能である. 一つの物理マシンにて複数の仮想マシンを稼働させ,

More information

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx

Microsoft PowerPoint - ARC-SWoPP2011OkaSlides.pptx データ値の局所性を利用した ライン共有キャッシュの提案 九州大学大学院 岡慶太郎 福本尚人 井上弘士 村上和彰 1 キャッシュメモリの大容量化 マルチコア プロセッサが主流 メモリウォール問題の深刻化 メモリアクセス要求増加 IOピンの制限 大容量の LL(Last Level) キャッシュを搭載 8MB の L3 キャッシュを搭載 Core i7 のチップ写真 * * http://www.atmarkit.co.jp/fsys/zunouhoudan/102zunou/corei7.html

More information

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ

C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C プログラミング 1( 再 ) 第 5 回 講義では C プログラミングの基本を学び演習では やや実践的なプログラミングを通して学ぶ C に必要なコンピュータ知識 C はコンピュータの力を引き出せるように設計 コンピュータの知識が必要 コンピュータの構造 1. パーソナルコンピュータの構造 自分の ( 目の前にある ) コンピュータの仕様を調べてみよう パソコン本体 = CPU( 中央処理装置 ):

More information

Microsoft PowerPoint - yamagata.ppt

Microsoft PowerPoint - yamagata.ppt グリッド上におけるにおける仮想計算 機を用いたいたジョブジョブ実行環境 構築システムシステムの高速化 山形育平 高宮安仁 中田秀基, 松岡聡, : 東京工業大学 : 産業技術総合研究所 : 国立情報学研究所 1 背景 グリッド技術の普及 複数ユーザがネットワーク接続された計算機資源を共有する機会が増加 ユーザが利用する OS やライブラリが多様化 各計算機にインストールされている必要がある 各計算機間で管理ポリシーが異なる

More information

ルネサス半導体セミナースケジュール

ルネサス半導体セミナースケジュール 1 3ページ 2018 年 7 12 4 6ページ 2018 年 1 6 2018/6/25 ルネサス半導体トレーニングセンター 2018 年 7 12 セミナースケジュール 製品セミナー RL78 RX RZ Renesas Synergy 7 8 9 10 11 12 RL78 1day 速習 動かしてみよう編 コース 8/7 9/26 12/11 1 間 ( 無料 ) 8/23 12/20 RL78

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information