Presentation Title

Size: px
Start display at page:

Download "Presentation Title"

Transcription

1 コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1

2 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2

3 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS: Model In the Loop Simulation RCP: Rapid Control Prototyping PILS: Processor In the Loop Simulation HILS: Hardware In the Loop Simulation 制御仕様設計 MILS ( プラント協調 シミュレーション ) 実機評価適合 シミュレーションモデル開発 制御ソフト設計 RCP ( コントローラ試作による実験 ) HILS ( プラント模擬装置によるソフト試験 ) グラフィカル環境で作成 理解が容易 組込みコード自動生成 コード検証 (PILS) 3

4 シミュレーションモデル開発 Toyota Racing Development における取り組み例 風洞テスト 振動テスト 計測 データ収集 シミュレーションモデルパラメータ最適化 データ解析 走行テスト シミュレーションモデルの精度向上が重要 4

5 MBD による制御開発フローとコード生成製品の活用 MILS: Model In the Loop Simulation RCP: Rapid Control Prototyping PILS: Processor In the Loop Simulation HILS: Hardware In the Loop Simulation 制御仕様設計 MILS ( プラント協調 シミュレーション ) 制御モデルで実機実験 実機評価適合 制御ソフト設計 RCP ( コントローラ試作による実験 ) HILS ( プラント模擬装置によるソフト試験 ) 組込みコード自動生成 コード検証 (PILS) 現場 実機試験の回数削減 制御モデルをデバイス実装 モデルをテストベンチに再利用 5

6 各種シミュレーション手法 手法動作物 動作環境主な検証内容 MILS (Model In the Loop Simulation) モデル ホスト (PC) コントローラ モデル ホスト (PC) プラント 制御モデル処理内容の妥当性検証 RCP (Rapid Control Prototyping) コード C リアルタイムシミュレータ コントローラ 実機 プラント 制御仕様のリアルタイム動作検証 制御周期 / パラメータの妥当性検証 PILS (Processor In the Loop Simulation) CコードターゲットCPU コントローラ モデル ホスト (PC) プラント コードとオリジナルモデル処理の等価性検証 ターゲット CPU 上で コントローラのコード部分とコードの実 CPU 演算 クロスコンパイラ / リンカ設定の影響を評価 処理時間 メモリ使用量評価 HILS (Hardware In the Loop Simulation) コード C ターゲットCPU コントローラ Cコード リアルタイムシミュレータ プラント プラントモデルをリアルタイムシミュレータで動作させ ターゲット CPU 上で CPU 周辺 実行管理 I/O ドライバを含めたコントローラコード全体のリアルタイム動作を検証 6

7 組込みコード自動生成製品の普及様々な分野で活用されています DaimlerChrysler クルーズコントロール制御 ミツバリバーシングワイパー制御 小野測器高精度車速計 General Motors ハイブリッド制御 Wärtsilä 産業用ディーゼルエンジン Alstom 鉄道用電力変換システム 7

8 最新の技術動向 : 規格対応 機能安全 航空機 :DO-178, ARP4754 AUTOSAR ソフトウェアアーキテクチャー標準化と再利用 Alenia Aermacchi Autopilot software ツール認定 (Embedded Coder) 産業 : IEC61508 鉄道 : EN50128 自動車 : ISO26262 Airbus Helicopter Flight software プロセスで品質を確保ツールで作業効率を改善 広く量産車開発に適用 8

9 最新の技術動向 : マルチコアプロセッサ実装高い処理能力が必要な組込みシステムへ向けて 性能 専用 H/W: FPGA, ASIC SIMD: Single Instruction Multiple Data 1つの命令で複数のデータを扱う処理方式 FPGA, ASIC 専用 H/W Xilinx Zynq, Altera SoC など CPU+ 専用 H/W ルネサス MX-G, NVIDIA Tegra, ARM Mali など CPU+ SIMD コア 適用例 : 先進運転支援システム高精度モーター制御システム マルチ CPU シングル CPU ルネサス V850 マルチコア Analog Devices Tiger SHARC ARM マルチコアなど 機能の自由度 9

10 マルチ CPU 向けコード生成ルネサス V850 マルチコア向けの取り組み マルチ CPU Multicore Coder for PILS( 試作 ) Simulink モデルからマルチコア用コードを生成 PILS による動作検証 性能検証が可能な開発環境 Multicore Coder for PILS の動作例 注 : TLV by TOPPERS Project 注 : TLV by TOPPERS Project 10

11 CPU+SIMD コア向けコード生成ルネサス MX-G 向けの取り組み CPU+ SIMD コア PILS を活用した MX 並列プロセッサ向けソフトウェア開発環境 MX 向け Simulink ブロックセット開発 Simulink とマイコン開発環境の連携動作 11

12 CPU+ 専用 H/W 向けコード生成 Xilinx Zynq C+HDL コード生成への取り組み CPU+ 専用 H/W Simulink の 1 モデルから ARM 用 C コードと FPGA 用 HDL コードを生成 ARM と FPGA 間の I/F コードも同時に自動生成 12

13 専用 H/W 向けコード生成 by HDL Coder FPGA/ASIC 向け VHDL/Verilog コード生成 専用 H/W モデル VHDL(IEEE ), Verilog(IEEE ) ASIC/FPGA 用合成ツールに対応 VHDL Verilog HDL Coder clk or posedge reset) begin : FF0_process if (reset == 1'b1) begin FF0_out1 <= 8'sb ; end else begin FF0_out1 <= In1; end end assign Gain0_out1 = 3 * FF0_out1; clk or posedge reset) begin : FF1_process.... end assign Gain1_out1 = 5 * FF1_out1; assign Add_out1 = Gain_out1 + Gain1_out1; パイプライン リソース共有設定 HDL コシミュレーション /FIL によるコード 実機検証 FIL: FPGA In the Loop Altera QuartusII / Xilinx ISE 連携クリティカルパス表示 ボード実装 ASIC/FPGA 13

14 まとめコード生成製品の普及と最新の技術動向 コード生成製品は広く普及しています 活用シーン RCP(Rapid Control Prototype) 組込みコード自動生成とコード検証 HILS(Hardware In the Loop Simulation) シミュレーションモデルの精度向上が重要 機能安全 AUTOSAR など 規格準拠でも活用 製品実績多数 ツールの活用で工数削減 マルチコア実装への取り組み 並列化から専用 H/W コアの活用へ 14

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

Tech Info share 2015 Q2 CDA Team 2

Tech Info share 2015 Q2 CDA Team 2 制 御 モデルを 活 用 した 量 産 / 組 み 込 みソフト 開 発 ソリューション MathWorks Japan アプリケーションエンジニアリング 部 ( 制 御 ) シニアアプリケーションエンジニア 山 本 順 久 2015 The MathWorks, Inc. 1 はじめに: 本 講 演 でお 話 しする 内 容 Simulinkモデルを 用 いた 量 産 / 組 み 込 み 制 御

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

モデルベースデザイン(MBD)を始めましょう!

モデルベースデザイン(MBD)を始めましょう! モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2 はじめに スマートシステムの増加 ソフトの高機能化

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

MAAB でのプレゼンテーション に関する提案

MAAB でのプレゼンテーション に関する提案 J-MAAB 2005 10 27 (1) OBD (2) (3) (4) OEM (5) MAAB J-MAAB 1. MBD (Model-Based Development) MBD Process 2. MAAB MAAB 3. J (Japan) -MAAB J-MAAB 4. J-MAAB WG WG 5. MBD Virtual World *SILS Rapid *HILS Real

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx

Microsoft PowerPoint - 23_電子制御情報の交換(配布用a).pptx JAMA 電子情報フォーラム 2018 デジタルエンジニアリング プロセスの 一般社団法人 適用範囲拡大 電子制御情報の交換 本 動 業会 電子情報委員会デジタルエンジニアリング部会電子制御情報の交換タスクタスクリーダー : 菊地洋輔 2018 年 2 月 16 日 目次 1 活動の背景 2 活動のゴール 進め方 3 成果目標 4 活動計画 5 2017 年度の取り組み 6 2018 年度以降の取り組み

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 ISO26262 ISO26262 Contents ISO26262 ECU ECU Copyright Hitachi Automotive Systems, Ltd. All rights reserved

CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 ISO26262 ISO26262 Contents ISO26262 ECU ECU Copyright Hitachi Automotive Systems, Ltd. All rights reserved CREST ディペンダブル VLSI システムの基盤技術 研究領域会議 2012-1 Contents ECU ECU 会社概要 日立の自動車機器事業は 1930 1930 年自動車用電装品の国産化から開始 2009 2009 年 7 月 : 日立製作所から分社 日立オートモティブシステムズ 商号 事業内容 代表者 日立オートモティブシステムズ株式会社 ( 英文名 :Hitachi Automotive

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

Presentation Title

Presentation Title 介護 福祉 医療機器開発におけるモデルベースデザイン MathWorks Japan Consulting Service 鳥居荘太 2016 The MathWorks, Inc. 1 MBD 成熟度 はじめに 本セッションのフォーカス MBD の効果確認済みのお客様 これから MBD を始めるお客様 すでに MBD 導入済みのお客様 システム開発経験有り / 無し 時間 2 アジェンダ 1. ソフトウェア開発と認証

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

/ , ,908 4,196 2, ,842 38, / / 2 33 /

/ , ,908 4,196 2, ,842 38, / / 2 33 / MathWorks Automotive Conference 2014 ( ) ECU 0.1. 1 /30 1949 12 16 1,874 4 959 2 4,908 4,196 2,993 139,842 38,581 62 26 35 56 / 6 185 13 4 3 11 / 2 33 / 2014 3 31 0.1. 2 /30 ETC 0.2. 3 /30 1. 1. 2. 2.

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望 MATLAB/Simulink を利用したモデルベース開発のトレンドと将来展望 2009 年 5 月 21 日 ( 木 ) 2009 CYBERNET SYSTEMS CO.,LTD. All Rights Reserved. アジェンダ はじめに The MathWorks MATLABプロダクトファミリ 自動車業界での利用 モデルベース開発 モデルベース開発とは 導入効果 開発プロセスのトレンド

More information

HIGIS 3/プレゼンテーション資料/J_WhiteA.ppt

HIGIS 3/プレゼンテーション資料/J_WhiteA.ppt JEITA 組込み系ソフトウェア ワークショップ 2009 日立グループにおける 組込みソフトウェア開発力強化の取組み 2009 年 10 月 20 日 ( 株 ) 日立製作所モノづくり技術事業部鍵政豊彦 toyohiko.kagimasa.uq@hitachi.com 1. 背景 1 1.1 組込みソフトウェア開発の課題 組込みソフトウェアの機能高度化 規模増大 複雑度の上昇 プログラムの実行可能なパスの数は規模に応じて組み合わせ的に増大

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

Presentation Title プレゼンテーションのタイトル

Presentation Title プレゼンテーションのタイトル MATLAB/Simulink を用いた次世代イメージングシステムの FPGA 実装 2016 年 10 月 19 日オリンパス株式会社技術開発部門モバイルシステム開発本部画像技術部吉崎和徳, 山田博 Agenda 1. オリンパス概要 ( 吉崎 ) 2. 次世代イメージングシステム研究開発 ( 吉崎 ) 3. MATLAB/Simulink を用いた FPGA 実装 ( 山田 ) 4. まとめ (

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

2016 Trademark Announcement -Wide-

2016 Trademark Announcement -Wide- ハードウェアインザループシミュレーション (HILS) による量産 / 試作コントローラのテスト MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) 2016 The MathWorks, Inc. 1 モデルベースデザインが高機能 多機能化する製品の迅速な開発をサポート シミュレーションを通した動作 性能検証とコード生成のテクノロジーを活用 シームレスな開発環境で素早い製品リリースを可能にします

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換)

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換) TOPPERS プロジェクトプレス発表 2009 年 4 月 23 日 TOPPERS/FMP カーネル TraceLogVisualizer(TLV) 本田晋也 名古屋大学大学院情報科学研究科附属組込みシステム研究センター (NCES) 助教 honda@ertl.jp 1 TOPPERS/FMP カーネル 2 組込みシステムにおけるマルチプロセッサの利用 大きく二つの理由により利用が進んでいる

More information

U4611A/B USB 2.0/3.0プロトコル・アナライザ バージョン3.7.x(MegaZoomテクノロジー採用)

U4611A/B USB 2.0/3.0プロトコル・アナライザ バージョン3.7.x(MegaZoomテクノロジー採用) Keysight Technologies U4611A/B USB 2.0/3.0 3.7.x MegaZoom Data Sheet SuperSpeed USB 02 Keysight U4611A/B USB 2.0/3.0 プロトコル アナライザ - Data Sheet LTSSM 18 GB GUI 03 Keysight U4611A/B USB 2.0/3.0 プロトコル アナライザ

More information

Smarter Vision のための最もスマートな選択

Smarter Vision のための最もスマートな選択 Zynq All Programmable SOC : Smarter Vision Audi Kinect Xbox 360 Smarter Vision Smarter Vision Smarter Vision 10 ( ) Smarter Vision Smarter Vision Intuitive Surgical Smarter Vision Xilinx All Programmable

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構

スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD 経済産業省, 独立行政法人情報処理推進機構 スキル領域と (8) ソフトウェアデベロップメント スキル領域と SWD-1 2012 経済産業省, 独立行政法人情報処理推進機構 スキル領域 職種 : ソフトウェアデベロップメント スキル領域と SWD-2 2012 経済産業省, 独立行政法人情報処理推進機構 専門分野 ソフトウェアデベロップメントのスキル領域 スキル項目 職種共通スキル 項目 全専門分野 ソフトウェアエンジニアリング Web アプリケーション技術

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション タイトル 無 人 車 両 のモデルベース 開 発 プロセスの 紹 介 (Simulink+CANoeによる 車 両 シミュレータの 活 用 ) ヤマハ 発 動 機 株 式 会 社 技 術 本 部 イノベーション 研 究 部 知 的 システムグループ ASプロジェクト 藤 井 北 斗 目 次 プロジェクト 概 要 AUGVシステムの 説 明 車 両 シミュレータの 説 明 車 両 シミュレータとは?

More information

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ

VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ VHDL/Verilog によるコードベースから Simulink によるモデルベースへ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 2012 The MathWorks, Inc. 1 出展 : 日本経済新聞 2012/7/3 記事 2 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 計算機アーキテクチャ第 11 回 マルチプロセッサ 本資料は授業用です 無断で転載することを禁じます 名古屋大学 大学院情報科学研究科 准教授加藤真平 デスクトップ ジョブレベル並列性 スーパーコンピュータ 並列処理プログラム プログラムの並列化 for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } プログラムの並列化 x[0] = a[0] + b[0];

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション xpc Target を快適実行! Speedgoat 社リアルタイムシミュレータ 及び事例の紹介 営業技術部戸部英彦 2012.10.30 目次 1. 会社案内 2. モデルベースデザイン 3.RPC & HILS 4.xPC Target Turnkey Solution 5.Speedgoat 製品紹介 6. 実際にモータを回すまで 7. 質疑応答 2 1. 会社案内 会社名称 株式会社アイダックス

More information

Microsoft PowerPoint - matlab_expo_pub.pptx

Microsoft PowerPoint - matlab_expo_pub.pptx ヒューマノイドロボット HYDRA の 開発における MATLAB の活用 東京大学神永拓 開発の動機 フィールドで実用的に使用できるロボットをどうやったら作れるのか? パワー 耐久性 制御性 操作性 2016/10/19 MATLAB EXPO 2016 2 なにをしなければならないか ( 直感的 ) 操作性 制御性 人型構造 柔軟性の実現 頑健性 アクチュエータ 機構の改善 自律性 多数のセンサ

More information

HILS実装のためのプラントモデリングツールの活用

HILS実装のためのプラントモデリングツールの活用 HILS 実装のためのプラントモデリングツールの活用 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) アプリケーションエンジニア新井克明 2016 The MathWorks, Inc. 1 ハードウェアインザループシミュレーション (HILS) 制御対象の振る舞いを模擬し 試作 量産コントローラの機能検証を行うアプローチ 実機を利用したテストよりも再現性高くテストシナリオの繰り返し実施が可能

More information

f3-power-train-simulation-mw

f3-power-train-simulation-mw 車両全体シミュレーションを活用しよう 電動パワートレインシステム開発を例題に MathWorks Application Engineering 宮川浩 2015 The MathWorks, Inc. 1 電動パワートレインの選択 モータは一つ パラレルハイブリッド モータをどこに置くのがベストでしょうか? 燃費がより良いのは? 加速性能がより良いのは? 3 電動パワートレイン比較結果 P0 P1

More information

智美塾 ゆもつよメソッドのアーキテクチャ

智美塾 ゆもつよメソッドのアーキテクチャ ゆもつよメソッドのテスト要求分析とテストアーキテクチャ設計 JaSST13 東京智美塾 2013 年 1 月 30 日 湯本剛 ( 日本 HP) tsuyoshi.yumoto@hp.com ゆもつよ風テスト開発プロセス テスト計画 実現したい品質の具体的把握 テスト箇所の選択 テストの目的設定 テスト対象アイテム特定 テスト分析 テストタイプ特定 機能の整理 & 再分類 テスト条件となる仕様項目特定

More information

車載マイコンの動向

車載マイコンの動向 車載マイコンの現状について ~2008 年度サーベイ ~ 2008 年 11 月 28 日 ( 財 ) 九州先端科学技術研究所 車のエレクトロニクス化 トヨタ自動車 (1996 - *1936) 燃費 : 7 km/l トヨタ自動車 (Prius HEV 2006) 燃費 :35.5 km/l センサー : 無 ECUs: 無 センサー : 約 100 ECUs: 約 70 ECU: Electronic

More information

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt ISO/IEC9126 & MISRA-C:2004 ベースソースコード品質診断 ~ MISRA-C:2004 ベース品質診断のご紹介 ~ 株式会社東陽テクニカソフトウェア ソリューション MISRA とは Motor Industry Software Reliability Association の略 ヨーロッパ自動車技術会 (MIRA) の下部組織 MIRA: Motor Industry

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

054_10モデルベースデザイン

054_10モデルベースデザイン 技術紹介 モデルベースデザインによる制御設計 石田修一 Ishida Shuuichi *1 近年 制御システムは高機能化や大規模化が急速に進み 従来の開発手法では開発費用の増加 開発期間の拡大 および品質の確保が困難となった 対して顧客からは 開発期間の短縮やコストダウンへの強い要求がある この現状を改善する方法として モデルベースデザイン (MBD:Model-Based Design) が自動車分野

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

OPCインターフェースによるPLC計装システムの実践的ソリューション

OPCインターフェースによるPLC計装システムの実践的ソリューション OPC を利用した PLC 計装システムの実践的ソリューション オムロン ( 株 ) 三木信史 / 奥野誠 1. はじめに国内の生産現場における制御システムは 連続系としてのPA 領域とディスクリート系であるFA 領域では異なる制御システムとして発展を遂げてきた PA 分野においては分散型制御システム ( 以下,DCS) FA 分野においてはプログラマブルコントローラ ( 以下,PLC) を主とした制御システムが利用されてきた

More information

新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1

新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1 新入社員研修で 制御開発の人材を育てるとは どういうことか ヤマハ発動機 迫田茂穂様 MathWorks Japan 照井雄佳 2016 The MathWorks, Inc.1 自己紹介 MathWorks 照井雄佳 ヤマハ発動機迫田茂穂様 トレーニングエンジニア 5 年間 ヤマハ発動機の新入社員教育を担当 研究職 ( システム領域 ) MathWorks と社内向け制御教育の構築 2 本日 伝えたいこと

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア プロセッサ Nios II( アルテラ社 ) を搭載可能なマイコンボードです 弊社の基本ソフトウェアをインストールし FPGA 開発者のデザインと Nios

Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア プロセッサ Nios II( アルテラ社 ) を搭載可能なマイコンボードです 弊社の基本ソフトウェアをインストールし FPGA 開発者のデザインと Nios Nios II マイコン活用ガイド CHAPTER No:010Cmn 対象品 : 目次 Nios II マイコンボード紹介 2 ステップ 1 AuCE C3 製品紹介 2 ステップ 2 AuCE C3 構成 3 ステップ 3 関連ドキュメント概略 10 1 Nios II マイコン活用ガイド Nios II マイコンボード紹介 ステップ 1 AuCE C3 製品紹介 AuCE C3 は ソフトコア

More information

Monthly Research / セキュアハードウェアの登場とその分析

Monthly Research / セキュアハードウェアの登場とその分析 Monthly Research セキュアハードウェアの登場とその分析 株式会社フォティーンフォティ技術研究所 http://www.fourteenforty.jp Ver2.00.02 1 セキュアハードウェア ハードウェアレベルでのセキュリティ拡張や それを実装したハードウェアが提案されている 通常のマイクロプロセッサを拡張することで柔軟性を確保する試みもある 今回は主に ARM TrustZone

More information

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx MATLAB EXPO 2014 アルゴリズムと RTL を統合した 検証プラットフォームの 開発と適用 2014 年 10 月 29 日 NECプラットフォームズ ( 株 ) 福井祥布 MathWorks Japan 柴田克久 - アジェンダ - 1. はじめに NEC プラットフォームズ ( 株 ) の紹介 by 福井 モデルベースデザインによる FPGA/ASIC 開発 by 柴田 2. ソフトウエア無線の設計技術動向

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

/

/ / CoMET とは? APPLICATIONS IP -PROCESSOR IP Application Programs (C/C++) Reactive Operating System Kernels PROPRIETARY OPERATING SYSTEM IP BUS, CACHE, TLB IP SOFTWARE TEST SETS Interactive Software Debugging

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション モーター制御開発の MBD トレーニングと バッテリー充放電コントローラの機能安全対応事例 パナソニックアドバンストテクノロジー株式会社高信頼性開発センター堀江雅浩 1 Panasonic Advanced Technology Development Co. Ltd. 目次 1. 会社紹介 2. モータ制御開発を題材にした MBD トレーニング 3. バッテリー充放電コントローラの機能安全対応事例

More information

自動車制御開発用シミュレータ:CRAMAS

自動車制御開発用シミュレータ:CRAMAS 自動車制御開発用シミュレータ : CRAMAS Simulator for Automotive Control Development: CRAMAS あらまし 本稿では, シミュレーションによって自動車制御開発を効率化するCRAMAS (ComputeR Aided Multi-Analysis System) を紹介する CRAMASは, 富士通テンが独自に開発したもので,HILS(Hardware

More information

g2-soc-fpga-implementation-mw

g2-soc-fpga-implementation-mw HW/SW のパフォーマンス解析 最適化および協調設計 MathWorks Japan アプリケーションエンジニアリング部松本充史 2015 The MathWorks, Inc. 1 よくある質問 @ コード生成ツール 最適化された C/HDL コードが生成されますか? 各種アルゴリズム沢山詰め込みたい マルチコア CPU でマルチタスクのシミュレーションできますか? モータ制御における複数のタスク

More information

Presentation Title

Presentation Title SDR を用いたチャネルサウンダ用トランシーバの開発 株式会社光電製作所 開発グループ開発部部長 荒田慎太郎様 MathWorks 田中明美 2016 The MathWorks, Inc. 1 アジェンダ MathWorks が提案する SDR ソリューションのご紹介 MathWorks/ 田中明美 事例発表 株式会社光電製作所 / 荒田慎太郎様 セッションのまとめ MathWorks/ 田中明美

More information

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖

Microsoft Word - 㕒酵镆çfl¨ã•‚å¤‘åŁ£ã‡¤ã…³ã‡¿ã…¼ã…³ã‡·ã……ã…Šã†fl桋僖 株式会社ソシオネクスト夏季インターンシップ募集要項 1. 会社紹介株式会社ソシオネクストは S o C (System-on-Chip) の設計 開発および販売を事業とする 2015 年 3 月設立の若い会社です 現在 約 2,850 人の仲間たちが世界 9 拠点で活躍しております 映像 通信 コンピューティング分野における世界トップレベルの技術を核に今日の様々なアプリケーションの進化を支え 人々の豊かな体験の実現に貢献します

More information

リソース制約下における組込みソフトウェアの性能検証および最適化方法

リソース制約下における組込みソフトウェアの性能検証および最適化方法 リソース制約下における組込みソフト ウェアの性能検証および最適化方法 広島市立大学 大学院情報科学研究科システム工学専攻 中田明夫倉田和哉百々太市 1 提案技術の概要 組込みシステムの開発 厳しいリソース制約 (CPU, ネットワークなど ) 非機能要求 ( リアルタイム性など ) の達成 開発プロセスにおける設計段階 性能問題を発見することが困難 実装段階で性能問題が発覚 設計の手戻りが発生 設計段階での性能検証手法

More information

AD-PROCYON システムが提供する機能とは? Next Generation Real-time Simulator/System Controller Platform 現行のシステムの問題点 プロセッサーの演算能力の制限 I/O インターフェースのレイテンシー AD-PROCYON システ

AD-PROCYON システムが提供する機能とは? Next Generation Real-time Simulator/System Controller Platform 現行のシステムの問題点 プロセッサーの演算能力の制限 I/O インターフェースのレイテンシー AD-PROCYON システ AD-PROCYON Platform 次世代リアルタイムシミュレータ / システムコントローラプラットフォーム 1 AD-PROCYON システムが提供する機能とは? Next Generation Real-time Simulator/System Controller Platform 現行のシステムの問題点 プロセッサーの演算能力の制限 I/O インターフェースのレイテンシー AD-PROCYON

More information

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」

計数工学実験/システム情報工学実験第一 「ディジタル回路の基礎」 計数工学実験 / システム情報工学実験第一 ディジタル回路の基礎 ( 全 3 回 ) システム 8 研 三輪忍 参考資料 五島正裕 : ディジタル回路 ( 科目コード 400060) 講義資料 ( ググれば出てくる ) 高木直史 : 論理回路, 昭晃堂 Altera: Cyclone II FPGA スターター開発ボードリファレンス マニュアル Altera: Introduction to Quartus

More information

PowerPoint Presentation

PowerPoint Presentation ETAS の AUTO ソリューションイータス株式会社 1 Public STJ2/ETAS 2014-01 ETAS GmbH 2014. All rights reserved, also regarding any disposal, exploitation, reproduction, editing, イータス株式会社会社概要 ETAS Driving Embedded Excellence

More information

Multi-konzeptionelle Verwendung von Low-Cost Hardware in der Lehre

Multi-konzeptionelle Verwendung von Low-Cost Hardware in der Lehre 開発プロセスにおける制御試験入門 MathWorks Japan アプリケーションエンジニアリング部制御 岩井理樹 2015 The MathWorks, Inc. 1 本講演の対象者 & メッセージング 対象者 ( 下記の初心者の方々が主に対象となります ) あまり Simulink に馴染みのない方 Simulink で廉価版ハードウェア実装をされていない方 MATLAB /Simulink で設計したアルゴリズムの動作検証を実機で確認されたい方

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information

starc_verilog_hdl pptx

starc_verilog_hdl pptx !!!!!!! ! 2.10.6.! RTL : 1! 1 2! 3.2.5.! : ! 1.7. FPGA 1 FPGA FPGA 1.5.2! 3.1.2.! 3! 3.3.1. DFT! LSI :! 2 : ! ON FPGA!!! FPGA! FPGA! !!!!! ! Verilog HDL 6 9 4! Xilinx ISE!!! RTL! CPU !! 20!! C! VHDL! Xilinx

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2011 年度 設計手法普及調査アンケート 集計経過報告 2012 年 2 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 6. アンケート集計結果 9 6.1 回答者の担当製品分野について 10 6.2 回答者の部門について 11

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタケシ ) 対象者 : 実機レス環境でモーター含むメカ制御プログラムの設計 および検証 学習をしてみたい方

More information

Slide 1

Slide 1 はじめての MicroBoard キット入門 Spartan-6 LX9 MicroBpard キット概要 V1.2 アヴネットジャパン株式会社 内容一覧 MicroBoardキット概要キットに含まれるもの MicroBoardボード概要ボードブロック図 MicroBoard 外観 サイズイメージ MicroBoardインタフェース FPGAの起動方法 ( コンフィギュレーション方法 ) FPGA

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

ムラタ流MBD:エネルギーマネジメントシステム向け組み込み開発の事例

ムラタ流MBD:エネルギーマネジメントシステム向け組み込み開発の事例 ムラタ流 MBD エネルギーマネジメントシステム (EMS) 向け組み込み開発の事例 株式会社村田製作所 馬 躍 1 村田製作所について 村田製作所は 最先端の技術 部品を創出する総合電子部品メーカーです Innovator in Electronics をスローガンに掲げ 豊かな社会の実現をめざします ムラタの強み 最先端の材料を研究開発 広範囲な製品ラインナップ グローバルな生産 販売ネットワーク

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB EXPO 2018 Japan 無線モデム FPGA/SoC 開発における HDL Coder TM の活用事例 2018/10/30 NECネットワーク センサ株式会社技術開発本部通信ネットワーク技術部主任 / プロダクトスペシャリスト住田憲昭 1 NEC Network and Sensor Systems, LTD. 2018 目次 1. 会社紹介 / 自己紹介 2. 防衛事業の技術課題とHDL

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

LSI LSI

LSI LSI EDA EDA Electric Design Automation LSI LSI FPGA Field Programmable Gate Array 2 1 1 2 3 4 Verilog HDL FPGA 1 2 2 2 5 Verilog HDL EDA 2 10 BCD: Binary Coded Decimal 3 1 BCD 2 2 1 1 LSI 2 Verilog HDL 3 EDA

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2010 年度 設計手法普及調査アンケート 集計経過報告 2011 年 8 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 5.1 アンケート回答者の構成 : 製品分野 9 5.2 アンケート回答者の構成 : 部門 10 6. アンケート集計結果

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

スライド 1

スライド 1 ANDROMEDA Andromeda Simulation system 背景 自動車開発プロセスにおける試験機の位置づけと業界の動向 先行開発 製品開発 製品開発時の コンポーネント開発メニューとして提供する車両テスト実走行テスト E/G T/Mなどパワートレイン耐久 性能 適合試験などを行う完成車の試験を行う完成車の実走行試験を行う ECUなどエレクトロニクス関連 エンジン単体試験 耐久 性能試験

More information

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科

卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 卒業論文 巡回冗長検査 CRC32 のハード / ソフト最適分割の検討 氏名 : 伊藤大喜学籍番号 : 2260050004-3 指導教員 : 山崎勝弘教授提出日 : 2009 年 2 月 19 日 立命館大学理工学部電子情報デザイン学科 内容概要本論文では LSI 設計の主流となっているハードウェア記述言語の Verilog-HDL を用いて CRC32 回路を設計することで Vreilog-HDL

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SPI Japan 2012 車載ソフトウェア搭載製品の 機能安全監査と審査 2012 年 10 月 11 日 パナソニック株式会社デバイス社 菅沼由美子 パナソニックのデバイス製品 SPI Japan 2012 2 パナソニック デバイス社のソフト搭載製品 車載スピーカーアクティブ消音アクティブ創音歩行者用警告音 スマートエントリー グローバルに顧客対応 ソフトウェア搭載製品 車載 複合スイッチパネル

More information

Insert your Title here

Insert your Title here マルチコア マルチスレッド環境での静的解析ツールの応用 米 GrammaTech 社 CodeSonar によるスレッド間のデータ競合の検出 2013 GrammaTech, Inc. All rights reserved Agenda 並列実行に起因する不具合の摘出 なぜ 並列実行されるプログラミングは難しいのか データの競合 デッドロック どのようにして静的解析ツールで並列実行の問題を見つけるのか?

More information

Microsoft PowerPoint プレス発表_(森川).pptx

Microsoft PowerPoint プレス発表_(森川).pptx ESEC2016 プレス発表 Safety&Security 両規格に準拠した 統合開発支援サービスを開始 2016 年 5 月 11 日株式会社ヴィッツ執行役員機能安全開発部部長森川聡久 本発表の概要 株式会社ヴィッツは 機能安全開発支援だけでなく 組込みセキュリティ開発も統合した開発支援サービスを開始しました 2 当社の主な実績 機能安全 プロセス認証取得 IEC61508:2010 SIL3

More information

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~ MATLAB における並列 分散コンピューティング ~ Parallel Computing Toolbox & MATLAB Distributed Computing Server ~ MathWorks Japan Application Engineering Group Takashi Yoshida 2016 The MathWorks, Inc. 1 System Configuration

More information

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 fatec-ood-2017@dl.jp.fujitsu.com 0 背景 リアルタイム性が必要な分野への適用 5G( 低遅延 ) による新たなサービス展開 ゲーム VoIP 動画医療金融車載 遅延がサービス品質に直結 End-to-End

More information