untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 CISC(complex instruction set computer) RISC(reduced instruction set computer) (cross software) (compiler) (assembler) (linkage editor) (loader) tokenizer) (parser) (code generator) (execute) GNU C C++ Configure, make, make install Binutils, gcc, newlib (target (host ) virtual machine: VM) Java MC68000 SH CPU32 BSR

2 MC68000

3 CPU32

4

5 C gcc E hello.c > hello.i gcc S hello.c hello.s. : gcc hello.s gcc c hello.c hello.o. 1. /* test.c */ 2. #include <stdio.h> 3. test(int i, int j) 4. { 5. return (i * j); 6. } 7. main(int argc, char *argv) 8. { 9. int i,j,k; 10. i = 3; 11. j = 2; 12. k = test(i,j); 13. if (k > 5) printf(">5 n"); 14. else printf("<=5 n"); 15. } % ls test.c % m68k-coff-gcc -S test.c % ls test.c test.s % 1..file "test.c" 2. gcc2_compiled.: 3. gnu_compiled_c: 4..text 5..even 6..globl test 7. test: 8. link.w %a6,#0 9. move.l 8(%a6),%d1 10. muls.l 12(%a6),%d1 11. move.l %d1,%d0 12. jbra.l2 13..even 14..L2: 15. unlk %a6 16. rts 17..LC0: 18..ascii ">5 12 0" 19..LC1: 20..ascii "<=5 12 0" 21..even 22..globl main 23. main: 24. link.w %a6,# jsr main 26. moveq.l #3,%d0 27. move.l %d0,-4(%a6) 28. moveq.l #2,%d0 29. move.l %d0,-8(%a6) 30. move.l -8(%a6),-(%sp) 31. move.l -4(%a6),-(%sp) 32. jsr test 33. addq.l #8,%sp 34. move.l %d0,-12(%a6) 35. moveq.l #5,%d0 36. cmp.l -12(%a6),%d0 37. jbge.l4 38. pea.lc0 39. jsr printf 40. addq.l #4,%sp 41. jbra.l5 42..even 43..L4: 44. pea.lc1 45. jsr printf 46. addq.l #4,%sp 47..L5: 48..L3: 49. unlk %a6 50. rts

6 C. If. % ld hello.o lc.. nm. m68k-coff-nm test.o text: data: b size. m68k-coff-size test.o. Printf. libc libm ld main.o func.o lm lc lib -l. ar. m68k-coff-ar q f.a func.o main.o m68k-coff-ar tv f.a gcc % m68k-coff-nm test.o b.bss d.data t.text t gnu_compiled_c U main t gcc2_compiled T main U printf T test % nm f.a func.o: b.bss d.data t.text e T _print_hello U _printf main.o: b.bss d.data t.text U main U alloca T _main U _print_hello % m68k-coff-size test.o text data bss dec hex filename test.o

7 MC (CPU32) 20.97MHz 7.5KB RAM :SIM, TPU, QSM, CTM4, QADC 160pin 2Mbit (256KB) RAM (1Mbit SRAM x2) 1 Mbit EPROM SIM( ) TPU QSM CTM4 PWM QADC 10bit s/ch LCD (16 x2 ) LCD (4 x6 ) DC LED A/D, D/A PIN CAN Cygwin,Gcc JDK, Java KVM Java thread

8 MC

9 ROM

10 UTYO-Mechano RAM: ROM: SRAM C Java jar C JavaVM KVM) Java Java Java Java Java C Mech ROM Java Java UTYO-Mechano-MCU Java (KVM) SUN

11 (exception) exception vector

12 SH-Linux ユーザーズガイド 2002/9 Rev.1.0

13 SH SH CPU ROM Ethernet USB RS232 SIO CPU 200MHz 64MB CPU 200MHz 128MB mm FR-4 DC5V 1A CF 1A 2A 12V,-5V CPU CR2032 HD S (SH3) QFP208 CPU 200MHz SDRAM 66.6MHz MHz 128MB(256MbSDRAM) 128MB 16 4M MB 16 4M MB U27,U28 2MB ROM TE28F160B3BA90 100Base-Tx/10Base-T 2 LAN91C111 USB ver1.1 2CH ISP1161 XC9572XL-TQ100 ADM211EARS CPU CF 3.3V CF SCI ttysc0 SCIF2(ttySC1 ) SCIF1(ttySC2 ) 2 Rev.1.0

14 1-2 ttysc0 ttysc1 ttysc2 Ethernet 100Base-Tx 10Base-T PC104 B7B-XH-A B3B-ZR-SM3 DSUB9 (P) B10B-ZR-SM3 RJ-45 PC ttysc0 B3B-ZR-SM3 CD-ROM SH-Linux CF CF CD-ROM CF 1-4 ADDRESS, DATA bus CN11 ttysc0 CN10 ttysc1 CN12 ttysc2 RESET RESET(CA) SDRAM 64MB or 128MB Flash ROM 2MB or 8MB RS232C Driver H-UDI DipSW LEDx MHz kHz SCI SCIF2 SCIF1 CPU(SH7709A) RESET,CA BUS Control XC9572XL COMMAND +3.3V +2.0V CPU core +1.9V CPU RTC buffer Ethernet LAN91C111 x 2 CF SLOT 3.3VReg 1.9VReg RTC Reg +5V PC104bus sub set 100Base-Tx RJ Base-Tx RJ ,-12,-5V CN9 Power JTAG CR IO SH3 3.3V CMOS(74LCXxx) TTL Rev.1.0 3

15 SH3 32 4Gbyte 3 A31-A29 MMU MMU (A28-A12) MMU Kbyte (A28-A26) CPU CS0,CS2 CS6 CS 64Mbyte (64x6)Mbyte SH7709S CS SDRAM 0x x0BFFFFFF CS2 32 0x0C x0FFFFFFF CS3 32 ROM 0x x001FFFFF CS0 16 LAN0 0x x F CS4 32 LAN1 0x x F USB 0x x F 16 0x x142FFFFF CS5 16 LED1 0x14A00000 CS5 8 LED2 0x14C00000 CS5 8 LED3 0x14E00000 CS5 8 LED3:1 0x CS5 8 0x x19FFFFFF CS6 8/16 I/O 0x1A x1BFFFFFF CS6 8/16 Linux P1 P2 I/O SW-8 ON 0x x151FFFFF SW-8 ON 0x x001FFFFF( 8bit) 64MB CS2 SDRAM CPU PTE3 2 CPU PTE6 3 CPU PTF0 4 CPU PTF1 4 Rev.1.0

16 5 CPU PTF2 6 CPU PTF3 ASEMD0 7 ROM WP CPU PTD1 ROM write 8 CS0 CPU PTD0 PC104 ROM ON LED LED 2 PTJ6 7 LED3 6 Ethernet P1 P2 0x x99FFFFFF 0xB xB9FFFFFF IO 0xBA xBBFFFFFF 1-6 (kernel ) IRQ0 LAN0 LAN91C111) 32 IRQ1 LAN1 LAN91C111) 33 IRQ2 CF 34 IRQ3 PC104 IRQ3 35 IRQ4 PC104 IRQ4 36 Rev.1.0 5

2 1. Ubuntu 1.1 OS OS OS ( OS ) OS ( OS ) VMware Player VMware Player jp/download/player/ URL VMware Plaeyr VMware

2 1. Ubuntu 1.1 OS OS OS ( OS ) OS ( OS ) VMware Player VMware Player   jp/download/player/ URL VMware Plaeyr VMware 1 2010 k-okada@jsk.t.u-tokyo.ac.jp http://www.jsk.t.u-tokyo.ac.jp/~k-okada/lecture/ 2010 4 5 Linux 1 Ubuntu Ubuntu Linux 1 Ubuntu Ubuntu 3 1. 1 Ubuntu 2. OS Ubuntu OS 3. OS Ubuntu https://wiki.ubuntulinux.jp/ubuntutips/install/installdualboot

More information

ユーザーズマニュアル(SVCEシリーズ)

ユーザーズマニュアル(SVCEシリーズ) SV-NET CONTROLLER SVCE SV-NET Controller SVCE SV-NET Controller Ether SV-NET SVCE Ethernet EtherCAT EtherCAT SVCE SVCE SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact

More information

MINI2440マニュアル

MINI2440マニュアル Multi-Media DSP/BF53x Linux http://www.csun.co.jp info@csun.co.jp 2009/3/6 copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 DSP/BF53x...3 1.1...3 1.2...7 DSP/BF53x...8 2.1...8 2.2...9 uclinux...

More information

oaks32r_m32102

oaks32r_m32102 OAKS32R-M32102S6FP 2 OAKS32R OAKS32R-M32102S6FP M32102S6FP... 4... 5... 6... 7 4.1. CPU...7 4.2. Flash ROM SDRAM....8 4.3. LANC....8 4.4. RS232C....9 4.5. CPU....9 4.6.....9 4.7....10 4.8. SDI....10...

More information

MINI2440マニュアル

MINI2440マニュアル ARM7TDMI/LPC2388 http://www.csun.co.jp info@csun.co.jp 2009/3/17 copyright@2009 http://www.csun.co.jp info@csun.co.jp 1 ARM7TDMI/LPC2388...4...5 2.1...5 2.2 USB...6 2.3 USB...7 2.4 USB OTG...7 2.5...8

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

ユーザーズマニュアル(SVCCシリーズ)

ユーザーズマニュアル(SVCCシリーズ) SV-NET CONTROLLER SVCC SV-NET Controller SVCC SV-NET Controller Compact SV-NET SVCC SVCC SVC SVD SVCC SVCE TMasM TMc TMoS OS C SV-NET SV-NET AC SV-NET Controller Compact SV-NET Controller Ether C OS C

More information

(2 Linux Mozilla [ ] [ ] [ ] [ ] URL 2 qkc, nkc ~/.cshrc (emacs 2 set path=($path /usr/meiji/pub/linux/bin tcsh b

(2 Linux Mozilla [ ] [ ] [ ] [ ] URL   2 qkc, nkc ~/.cshrc (emacs 2 set path=($path /usr/meiji/pub/linux/bin tcsh b II 5 (1 2005 5 26 http://www.math.meiji.ac.jp/~mk/syori2-2005/ UNIX (Linux Linux 1 : 2005 http://www.math.meiji.ac.jp/~mk/syori2-2005/jouhousyori2-2005-00/node2. html ( (Linux 1 2 ( ( http://www.meiji.ac.jp/mind/tool/internet-license/

More information

FH300d_表示器付きコントローラ_@E.Terminal for MC_ハードウェア編

FH300d_表示器付きコントローラ_@E.Terminal for MC_ハードウェア編 E.Terminal for MC ONL ERR UROM RUN ALM BAT 4:RUN 3:U-TERM 2:TERM 1:STOP CN7 SX-BUS IN CN5 CPU No. OUT + 24V DC - FG CF USER ROM CARD USB LOADER MJ1 MJ2 CN1 LAN U-B U-A Ethernet/RS-232C or RS-485 V5.4.2.0

More information

PLC HMI High flexibility Simple networking Easy to use 190 HMI 2

PLC HMI High flexibility Simple networking Easy to use 190 HMI 2 PLC HMI High flexibility Simple networking Easy to use 190 HMI 2 Contents 4 11 14 15 3 SIMATIC PLC190 24 S7-1200/ S7-1200 S7-1200 I/OCPU ROM SIMATIC S7-1200PLC 4 S7-1200 CPU 100Mbps HMI-PLCPC-PLCPLC16

More information

MINI2440マニュアル

MINI2440マニュアル ARM Cortex-M3 STM32F103 (GCC TOPPERS/ASP ) http://www.nissin-tech.com info@nissin-tech.com 2009/10/15 copyright@2009 1 STM32F103...3 STM32...4...8 3.1...8 3.2...9 3.3...13 KEIL...19 4.1 KEIL...19 4.2...22

More information

第5回お試しアカウント付き並列プログラミング講習会

第5回お試しアカウント付き並列プログラミング講習会 qstat -l ID (qstat -f) qscript ID BATCH REQUEST: 253443.batch1 Name: test.sh Owner: uid=32637, gid=30123 Priority: 63 State: 1(RUNNING) Created at: Tue Jun 30 05:36:24 2009 Started at: Tue Jun 30 05:36:27

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

Express5800/110Ee (2002/01/22)

Express5800/110Ee (2002/01/22) (2002/01/22) 1. N8100-691 ( /1BG(256)) CPU L1 L2 CD-ROM LAN OS Pentium 1.0BGHz 1 32KB 256KB 128MB 1.5GB ( IDE 60GB 3( IDE 2)) ( SCSI 18.1GB 3) 14 40 100BASE-TX 10BASE-T 640 480 1280 1024(VRAM 8MB) 2. CD-ROM

More information

Express5800/110Rc-1 1. Express5800/110Rc-1 N N Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1

Express5800/110Rc-1 1. Express5800/110Rc-1 N N Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1 (2002/01/22) Express5800/110Rc-1 1. Express5800/110Rc-1 N8100-665 N8100-793 Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1 Celeron (850MHz) 1 L1 32KB L2 256KB 128KB

More information

Express5800/120Ra-1

Express5800/120Ra-1 1. CPU L1 L2 CD-ROM LAN OS OS N8100-661A ( /1BG(256)) Pentium 1.0BGHz 1 2 32KB 256KB 128MB 4GB (73.2GB 2) 10 24 100BASE-TX 10BASE-T 2 640 480 1280 1024* 2. DISK LINK/ACT(LAN1) STATUS LINK/ACT(LAN2) POWER/SLEEP

More information

untitled

untitled --1 -- - 2 - --2--17 CirrusLogic EP9307 ARM920T ARM9TDMI CPU 16kByte 16kByte Thumb code(16bit ) CPU Core 200MHz BUS 100MHz 14.7456MHz SDRAM32MByte(16bit ) FLASH8MByte(16bit ) NAND (256MB) LAN 10BASE-T/100BASE-TX

More information

joho07-1.ppt

joho07-1.ppt 0xbffffc5c 0xbffffc60 xxxxxxxx xxxxxxxx 00001010 00000000 00000000 00000000 01100011 00000000 00000000 00000000 xxxxxxxx x y 2 func1 func2 double func1(double y) { y = y + 5.0; return y; } double func2(double*

More information

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM...

1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll 14 5.1 Cyclone V GX FPGA... 14 5.2 FPGA ROM... Mpression Beryll Board Revision 1.0 2014/2 2014/2 Mpression by Macnica Group http://www.m-pression.com 1. 3 1.1.....3 1.2... 3 1.3... 5 2. 6 3. 8 4. Beryll 9 4.1... 9 4.2... 9 4.3... 10 4.4... 10 5. Beryll

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) (

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( (2001/11/13) Express5800/120Lf 1. Express5800/120Lf N8100-748 N8100-751 N8100-754 Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( /1.26G(512)) CPU Hot-Plug Pentium (1.0BGHz)

More information

Express5800/140Hb (2002/01/22)

Express5800/140Hb (2002/01/22) (2002/01/22) 1. N8100-592B N8100-594B N8100-681 ( -X/700(1)) ( -X/700(2)) ( -X/900(2)) CPU L1 Pentium Xeon (700MHz) 1 4 Pentium Xeon (700MHz) 1 4 32KB Pentium Xeon (900MHz) 1 4 L2 1MB 2MB 2MB CD-ROM LAN

More information

thesis.dvi

thesis.dvi H8 e041220 2009 2 Copyright c 2009 by Kentarou Nagashima c 2009 Kentarou Nagashima All rights reserved , H8.,,,..,.,., AKI-H8/3052LAN. OS. OS H8 Write Turbo. H8 C, Cygwin.,., windows. UDP., (TA7279P).,.

More information

Express5800/120Lc

Express5800/120Lc Workgroup/Department 1. N8500-371 CPU L1 L2 CD-ROM LAN OS OS (/450(512)) N8500-372 N8500-373 N8500-400 (/450(512)-25AWS) (/500(512)) (/450(512)-25AWE) StarOffice Exchange Pentium450MHz1 2 ( 72GB) 32KB

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

untitled

untitled ( ) () ( ) 1 1 TX19A31_AG3 TX19A/H1 CPUTMP19A31CYFG AG3 AG3 2 2 3 TX19A31_AG3 CPU 32 RISC TMP19A31CYFG (U1) 80MHz ROM 32Mbit ROM 1 ROM (U8) 16Mbit Flash ROM 1 (U9) RAM 4Mbit SRAM 1 (U10) I/F RS232C 1chSIO

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

Express5800/120Rb-1 (2002/01/22)

Express5800/120Rb-1 (2002/01/22) (2002/01/22) 1. N8100-764 N8100-765 N8100-783 ( /1BG(256)) ( /1.26G(512)) ( /1.40G(512)) CPU Pentium Pentium -S Pentium -S (1BGHz) 1( 2 ) (1.26GHz) 1( 2 ) (1.40GHz) 1( 2 ) L1 32KB L2 256KB 512KB 256MB(

More information

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D II 8 2003 11 12 1 6 ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 Daisuke 8 =>. 73 Daisuke 35 Hiroshi 64 Ichiro 87 Junko

More information

S1C60N05データシート

S1C60N05データシート PF19-2 Micro MN 4-bit Single Chip Microcomputer µ µ 1 SC2 SC1 RESET RM 1,56 words x 12 bits SC System Reset Control Core CPU S1C6B RAM 8 words x 4 bits nterrupt Generator CM~ SEG~19 LCD Driver nput Port

More information

Express5800/120Le

Express5800/120Le Workgroup/Department 1. N8500-579A N8500-671 N8500-672 (/800EB (256)-27AWS) (/800EB (256)-27AW2S) (/800EB(256)) Windows NT Server 4.0 Windows 2000 Server CPU Pentium800EBMHz1 2 L1 32KB L2 256KB 128MB 4GB

More information

GE5000シリーズ ユーザーズマニュアル設定メニュー編

GE5000シリーズ ユーザーズマニュアル設定メニュー編 T-984PD-4 MA1506-G 2015 6 15 7 ...2...3...4...4...4...5...6...10...10...12...21...24...33...37...41...41 1...42...42 2...51 3...55...55 2 1 2 3 http://casio.jp/ppr/ 4 54 6 7PC-PR201H 201H 8ESC/P ESC/Page

More information

Express5800/120Rb-2

Express5800/120Rb-2 Workgroup/Department 1. N8500-478 N8500-486 (/533EB(256)) (/667EB(256)) CPU Pentium Pentium 533EBMHz1 2 667EBMHz1 2 L1 32KB L2 256KB 128MB 4GB ( 72.6GB) CD-ROM 24 LAN 100BASE-TX 6404801024768 OS OS 2.

More information

Baud Rate 9600 Parity NONE Number of Data Bits 8 Number of Stop Bits 1 Flow Control NONE 1 RS232C 200mm 2,000mm DIMM ( ) Telescope East/West LX200 * 1

Baud Rate 9600 Parity NONE Number of Data Bits 8 Number of Stop Bits 1 Flow Control NONE 1 RS232C 200mm 2,000mm DIMM ( ) Telescope East/West LX200 * 1 JARE54 LX200ACF 2012/2/18 2012/6/14 1 Abstract 8 LX200-ACF Linux PC meade Auto Align PC Zero Star Alignment Auto Align Zero Star Alignment 1 1 0.3 Zero Star Alignment 1 0.3 Auto Align 2 54 (2012 11 2013

More information

Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N N N Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120R

Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N N N Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120R Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N8500-572 N8500-573 N8500-574 Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120Rc-2 (/667(256)) (/800EB(256)) (/933(256)) CPU L1 L2

More information

Express5800/120Mc

Express5800/120Mc Pentium Xeon 1. N8500-436 CPU L1 L2 CD-ROM LAN OS OS (-X/600(256)) N8500-437 N8500-509 N8500-443 N8500-438 N8500-488 (-X /600(256)-25AWS) (-X /600(256)-25AWE) StarOffice Exchange (-X/733(256)) (-X /733(256)-25AWS)

More information

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc ワイヤレス LAN & Bluetooth モジュール評価ボード ( for WYSBMVGX4 / WYSBMVGX4-I / WYSBMVGXB ) この評価ボードは 実験検証用であり 品質を保証するものではありません また 評価ボードに使用している回路や部品 ソフトウェアは最新の物ではないことがあります 1/16 注意 : このモジュールは 日本の輸出管理下にあるデバイスドライバが必要です お客様の国やアプリケーション

More information

untitled

untitled I/O DP/FMS DP 750-301 750-303 750-323 750-131 Ver. 2.3.1 2009.1. 7 Copyright 1997-2001 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Hansastraβe 27 D-32423 Minden +49 0 571/8 87-0 +49 0 571/8 87-1

More information

CPU VS-RC003 RobovieMaker for VS-RC003

CPU VS-RC003 RobovieMaker for VS-RC003 CPU VS-RC003 RobovieMaker for VS-RC003 2 1. 4 1-1. 4 1-2.CPU 5 1-3.CPU PC 7 2. 9 2-1.PC 9 2-2. 11 2-2-1. 11 2-2-2. 13 2-2-3. 15 3. 16 3-1. 16 3-1-1. 17 3-2. 18 3-2-1.CPU 18 3-2-2. 19 3-2-3. CPU 21 3-3.

More information

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2 " 2 B R b

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2  2 B R b I 4 003 4 30 1 ASCII ( ) 0 17 0 NUL 16 DLE SP 0 @ P 3 48 64 80 96 11 p 1 SOH 17 DC1! 1 A Q a 33 49 65 81 97 113 q STX 18 DC " B R b 34 50 66 8 98 114 r 3 ETX 19 DC3 # 3 C S c 35 51 67 83 99 115 s 4 EOT

More information

IMAT05-10

IMAT05-10 TG-150 Title Generator 1 st EDITION - Rev.4 [] [] [] [] [] ...1...1 1....3 1-1....3 1-2....3 2....4 2-1....4 2-2....5 3....6 3-1....6 3-2....6 4....7 4-1....8 4-2....9 5....12 5-1....12 5-2....12 6....13

More information

Express5800/140Ma

Express5800/140Ma Pentium Xeon Express 1. N8500-324 N8500-325 N8500-326 N8500-327 (X/450(512)) (X/450(512)-25AW) (X/450(1)) (X/450(1)-25AW) Windows NT Server 4.0 CPU Pentium Xeon 450MHz1 4 L1 32KB L2 512KB 1MB CD-ROM LAN

More information

SR-X526R1 サーバ収容スイッチ ご利用にあたって

SR-X526R1 サーバ収容スイッチ ご利用にあたって SR-X526R1 P3NK-3432-05Z0 526R1 V01 SR-X526R1 V01 2009 10 2010 4 2 2011 5 3 2012 3 4 2012 11 5 Microsoft Corporation Copyright FUJITSU LIMITED 2009-2012 2 SR-X526R1 V01...2...5...5...5...5...6...7...8...8...11...11...11...11...11...11...12...12...12...12...13...13...13

More information

unitech PA500 Enterprise PDA Rev. A

unitech PA500 Enterprise PDA Rev. A unitech PA500 Enterprise PDA Rev. A PA500 Enterprise PDA Unitech Copyright 2007 unitech Electronics Co., Ltd. Web : http:\\www.unitech-japan.co.jp Bluetooth Bluetooth SIG Microsoft Windows ActiveSync

More information

Armadillo-9 ソフトウェアマニュアル

Armadillo-9 ソフトウェアマニュアル Software Manual http://www.atmark-techno.com/ http://armadillo.atmark-techno.com/ Armadillo-9 software manual ver.1.0.16 1.... 1 1.1.... 1 1.2.... 1 1.3.... 1 1.4.... 2 1.5.... 2 1.6.... 2 2.... 3 2.1....

More information

,…I…y…„†[…e…B…fi…O…V…X…e…•‡Ì…J†[…l…‰fi®“ì‡Ì›Â”‰›»pdfauthor

,…I…y…„†[…e…B…fi…O…V…X…e…•‡Ì…J†[…l…‰fi®“ì‡Ì›Â”‰›»pdfauthor OS 1 1 4 1.1........................................... 4 1.2........................................... 4 2 5 2.1..................................... 5 2.2 OS................................... 5 3 7

More information

/* sansu1.c */ #include <stdio.h> main() { int a, b, c; /* a, b, c */ a = 200; b = 1300; /* a 200 */ /* b 200 */ c = a + b; /* a b c */ }

/* sansu1.c */ #include <stdio.h> main() { int a, b, c; /* a, b, c */ a = 200; b = 1300; /* a 200 */ /* b 200 */ c = a + b; /* a b c */ } C 2: A Pedestrian Approach to the C Programming Language 2 2-1 2.1........................... 2-1 2.1.1.............................. 2-1 2.1.2......... 2-4 2.1.3..................................... 2-6

More information

MINI2440マニュアル

MINI2440マニュアル 株式会社日新テクニカ STM32F207 開発キット 株式会社日新テクニカ 全ての資料 回路図 サンプルは http://kanebebe.dip.jp/download/stm32f207 http://www.nissin-tech.com info@nissin-tech.com 2011/9/15 copyright@2011 ホームページ http://www.nissin-tech.com

More information

£Ã¥×¥í¥°¥é¥ß¥ó¥°ÆþÌç (2018) - Â裵²ó ¨¡ À©¸æ¹½Â¤¡§¾ò·ïʬ´ô ¨¡

£Ã¥×¥í¥°¥é¥ß¥ó¥°ÆþÌç (2018) - Â裵²ó  ¨¡ À©¸æ¹½Â¤¡§¾ò·ïʬ´ô ¨¡ (2018) 2018 5 17 0 0 if switch if if ( ) if ( 0) if ( ) if ( 0) if ( ) (0) if ( 0) if ( ) (0) ( ) ; if else if ( ) 1 else 2 if else ( 0) 1 if ( ) 1 else 2 if else ( 0) 1 if ( ) 1 else 2 (0) 2 if else

More information

MS104-SH4 ハードウェアマニュアル

MS104-SH4 ハードウェアマニュアル PC/104 SH-4 CPU BOARD ALPHA PROJECT co.,ltd http://www.apnet.co.jp D-Sub (16mm) PC/104 40pin PC/104 64pin! HDL SH7750 PC/104 Specification PC/104 Consortium URL http://www.renesas.com/jpn/ http://www.smsc.jp/

More information

Revision

Revision Revision 0 Lattice Mico32 222-8561 1-6-3 1 045-470-9841 FAX 045-470-9844 ... 1... 1... 1 LatticeMico32... 2 Mico32... 3... 3... 4 Microprocessor Platform isplever Project... 5 MSB(MicoSystemBuilder)...

More information

ARM gcc Kunihiko IMAI 2009 1 11 ARM gcc 1 2 2 2 3 3 4 3 4.1................................. 3 4.2............................................ 4 4.3........................................

More information

SystemC言語概論

SystemC言語概論 SystemC CPU S/W 2004/01/29 4 SystemC 1 SystemC 2.0.1 CPU S/W 3 ISS SystemC Co-Simulation 2004/01/29 4 SystemC 2 ISS SystemC Co-Simulation GenericCPU_Base ( ) GenericCPU_ISS GenericCPU_Prog GenericCPU_CoSim

More information

ProLiant ML110 Generation 4 システム構成図

ProLiant ML110 Generation 4 システム構成図 HP ProLiant ML110 Generation 5 2010 4 16 1 OVERVIEW ProLiant ML110 Generation 5 ProLiant ML110 Generation 5 1, 2 LED LED ( ) ( ) ( ) Lights-Out 100c ( ) 2 3 6 USB SATA ML110 G5 ProLiant ML110 G5 SATA /

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) double

More information

大統一Debian勉強会 gdb+python拡張を使ったデバッグ手法

大統一Debian勉強会 gdb+python拡張を使ったデバッグ手法 Debian 2013 gdb+python nozzy@debian.or.jp 2013 6 29 Level Debian Up Debian Debian debian sid unstable Debian debian sid unstable *-dbg Debian debian sid unstable *-dbg gdb Debian debian sid unstable *-dbg

More information

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編 720 x 400 37.9 85.0 VESA TEXT 640 x 480 31.5 59.9 VESA VGA (60Hz) 640 x 480 37.9 72.8 VESA VGA (72Hz) 640 x 480 37.5 75.0 VESA VGA (75Hz) 640 x 480 43.3 85.0 VESA VGA (85Hz) 800 x 600 35.2 56.3 VESA SVGA

More information

Express5800/140Ma

Express5800/140Ma Pentium Xeon Express 1. N8500-479 N8500-480 N8500-489,-490 N8500-491,-492 (-X/550(512)-25AWS) (-X/550(1)-25AWS) (-X/550(512)) (-X/550(1)) (-X/550(512)-25AWE) (-X/550(1)-25AWE) CPU L1 Pentium Xeon 550MHz1

More information

I 2 tutimura/ I 2 p.1/??

I 2   tutimura/ I 2 p.1/?? I 2 tutimura@mist.i.u-tokyo.ac.jp http://www.misojiro.t.u-tokyo.ac.jp/ tutimura/ 2002 4 25 I 2 p.1/?? / / Makefile I 2 p.2/?? Makefile make GNU make I 2 p.3/?? Makefile L A T E X I 2 p.4/?? core (1) gcc,

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

JIIAセミナー

JIIAセミナー Digital Interface IIDC URL teli.co.jp/ E-Mail http://www.toshiba-teli.co.jp teli.co.jp/ s-itokawa@toshiba-teli.co.jpteli.co.jp EIA,NTSC EIA,NTSC 4-5 JIIA JIIA - / Digital Interface Digital Interface IEEE1394

More information

20 H8/3069LAN H. Fukura

20 H8/3069LAN H. Fukura 20 H8/3069LAN 1.1 2009 2 9 H. Fukura 1 1 2 Cygwin 2 2.1................... 3 2.2................. 3 3 h8300-hms 13 3.1................... 14 3.2......... 14 3.3............. 35 3.4.............. 38 i

More information

ProLiant BL25p Generation 2システム構成図

ProLiant BL25p Generation 2システム構成図 HP ProLiant BL p-class Server BL25p Generation 2 2007 11 15 1 OVERVIEW ProLiant BL25p Generation 2 HP BladeSystem p-class Hardware Component BladeSystem p-class BladeSystem p-class BladeSystem p-class

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

S5U1C8F360T1 Manual (S1C8F360 DEMO Board)

S5U1C8F360T1 Manual (S1C8F360 DEMO Board) MF-0 CMOS -BIT SINGLE CHIP MICROCOMPUTER SUCF0T Manual (SCF0 DEMO Board) Hardware/Software SEIKO EPSON CORPORATION 00 S C 0 F 0A0 00 SU C D 00 SUCF0T Manual I HARDWARE SUCF0T MANUAL EPSON I-i (SCF0 DEMO

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

v831&2_tp.PDF

v831&2_tp.PDF PARTNER V800 V831/2-TP Copyright (C) 2002 / PARTNER-V831/2-TP http://www.midas.co.jp/products/download/program/partner.htm PARTNER-V831/2-TP( ) PARTNER-V831/2-TP 1 MS-WindowsWindowsMSMS-DOS CPU Y / M /

More information

DebugFactory Builder 4 for AMOTユーザーズマニュアル

DebugFactory Builder 4 for AMOTユーザーズマニュアル DebugFactory Builder 4 for AMOT PanaXSeriesDebugFactory Builder MS-WINDOWSMS-DOS Adobe Acrobat Reader Adobe (1) (2) (3) (4) (5) (6) 1 (7) DebugFactory Builder 4 for AMOT E-Mail( info@object.co.jp) WEB

More information

LP-S820

LP-S820 K Q OS Windows Windows 7 EPSON EXCEED YOUR VISION Mac Macintosh Mac OS Apple Inc. Microsoft Windows Windows Server Windows Vista Microsoft Corporation Adobe Adobe Reader Adobe Systems Incorporated ...4...

More information

GNU/Linux on SuperH g,,,,, GNU/Linux on SuperH [1] SuperH (SH-3 SH-4) GNU/Linux g linux-kernel 1998 Linux (SH-3) g GD

GNU/Linux on SuperH g,,,,, GNU/Linux on SuperH [1] SuperH (SH-3 SH-4) GNU/Linux g linux-kernel 1998 Linux (SH-3) g GD GNU/Linux on SuperH g,,,,, 2001 9 28 1 GNU/Linux on SuperH [1] SuperH (SH-3 SH-4) GNU/Linux 1999 8 g 1 1998 linux-kernel 1998 Linux (SH-3) 1999 7 g GDB CqREEK SH-3 1999 8 g Linux (SH-3) 1999 8 Linux (SH-4)

More information

システムユニット構成ツリーの見方

システムユニット構成ツリーの見方 HP StorageWorks 1200 All-in-One Storage System 2008 8 28 10 24 HP ProLiant!! Web http://www.hp.com/jp/proliant_all_rack 1 OVERVIEW HP StorageWorks All-in-One Storage System(AiO) ( 3 14 100 ) 1 AiO AiO

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

情報量・音声画像動画のA/D変換

情報量・音声画像動画のA/D変換 L06(2014-10-29 Wed), A/D..... http://hig3.net ( ) L06 A/D (2014) 1 / 24 : L05-S1 Quiz :int 16 2 15 x 2 15 1, 16 0 x 2 16 1. L05-S5 Quiz : 2 17 < 200000 2 18, 18. 2 10 = 1024, 2 16 = 65536. log 10 2, log

More information

ProLiant ML115 Generation 1 システム構成図

ProLiant ML115 Generation 1 システム構成図 HP ProLiant ML115 Generation 5 2010 4 16 1 OVERVIEW ProLiant ML115 Generation 5 ProLiant ML115 Generation 5 1 USB 2 3 6 SATA LED LED () Lights-Out 100c () 365W ML115 G5 ProLiant ML115 G5 SATA / AMD Athlon

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

USBLAN_um_101.PDF

USBLAN_um_101.PDF RTE-2000-TP RTE-LAN/USB I/F Midas lab Revision 2003/11/19 1.00 2003/12/22 1.01 9. 4.5 1....1 2....1 3....1 4....1 5....2 6. LAN...3 6.1....3 6.2. RS232C...4 6.3. PING IP...6 7....8 7.1....8 8. RS232C...9

More information

PowerBook G4 設置と準備 (Gigabit Ethernet)

PowerBook G4 設置と準備 (Gigabit Ethernet) apple PowerBook G4 PowerBook G4 K Apple Computer, Inc. 2001 Apple Computer, Inc. All rights reserved. Apple Apple Apple Computer, Inc. 1 Infinite Loop Cupertino, CA 95014-2084 Apple Japan, Inc. 163-1480

More information

dp0206_j

dp0206_j 1 2 7 8 9 4 5 6 3 10 11 12 13 20 19 18 16 17 15 14 CONTROL AUDIO 2 AUDIO 1 CN2 CN1 CN20 CN15 1 2 3 4 H C E (E) 1 2 3 E C H 1 2 3 4 C 8 7 6 5 6 7 8 9 5 4 3 2 1 E A B SIGNAL/

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

ESP8266-CORE-R Copyrig

ESP8266-CORE-R Copyrig ESP8266-CORE-R1 http://www.microfan.jp/ https://store.shopping.yahoo.co.jp/microfan/ https://www.amazon.co.jp/s?merchant=a28nhprkjdc95b 2018 3 Copyright c 2017-2018 MicroFan, All Rights Reserved. i 1 ESP8266-CORE-R1

More information

ex01.dvi

ex01.dvi ,. 0. 0.0. C () /******************************* * $Id: ex_0_0.c,v.2 2006-04-0 3:37:00+09 naito Exp $ * * 0. 0.0 *******************************/ #include int main(int argc, char **argv) { double

More information

VNXe3100 ハードウェア情報ガイド

VNXe3100 ハードウェア情報ガイド EMC VNXe VNXe300 P/N 300-02-289 03 Copyright 202 EMC Corporation. All rights reserved. 202 0 EMC Corporation EMC Corporation EMC EMC 2 EMC EMC EMC Corporation EMC Web 2 EMC VNXe300 VNXe300...... 2... 2...

More information

2

2 3G DN-300SE USB 2013 3 12 2 1.... 4 2.... 6 2.1.... 6 2.2. OS... 6 3.... 7 3.1.... 7 3.2.... 7 4....8 4.1.... 8 4.2. ALARM STOP... 10 4.3.... 10 5.... 11 5.1.... 11 6.... 12 6.1. (Windows Vista / 7 / 8

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

Z80.pdf Page 2 of 30 1... 3 1.1... 3 1.2... 3 1.3... 4 2.... 5 2.1... 5 3... 6 4.... 6 5... 6 6.... 6 7.... 7 8... 7 9.... 7 9.1... 7 10... 8 10.1 Z80 (XAS)... 8 10.2 Z80 (XLD)... 9 10.3 Z80 (XLD)... 10

More information

h1-4_cs5.5.indd

h1-4_cs5.5.indd SIMATIC HMI SIMATIC HMI SIMATIC HMI Comfort Panel All-in-One SIMATIC Comfort Panel all-in-one 4 22 1677 0 100% IP65 CEULKCRCMATEX HMI VB HMI ATEX 4 12 SIMATIC HMI Basic Panel 2 nd Generation SIMATIC Basic

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Mobile Base Station RTR-500MBS-A / Web Storage - RTR-500MBS LED / Copyright T&D Corporation. All rights reserved. 2017. 07 16504810016 8 -------------------------------------- 3 -----------------------------------------------

More information

2012年10月 Express5800/ftサーバ

2012年10月 Express5800/ftサーバ Express5800 201210 Express5800/ft http://www.nec.co.jp/exp/ Express5800/ft PC Express5800/ft R320c-M4 2.5 HDD87.2TBR320c-M4 /IO R320c-M4 Xeon E5-2670 R320c-M4 RDR LAN/PCI /IO LAN4 PCI-Express4 PCI DDR3R320c-M4256GBR320c-E4128GB

More information

システムユニット構成ツリーの見方

システムユニット構成ツリーの見方 P ProLiant DL320 Generation 5p 2009 12 8 1 OVERVIEW ProLiant DL320 G5p ProLiant DL320 Generation 5p 2DD ( ) 1 2 SATA A ( ) 2 PCI ( 2 PCI Express) DVD-ROM DVD-RW ( ) USB UID LED SATA ProLiant DL320 G5p

More information

Engineered with TIA Portal SIMATIC HMI Efficient to a new level 1

Engineered with TIA Portal SIMATIC HMI Efficient to a new level   1 Engineered with TIA Portal SIMATIC HMI Efficient to a new level www.siemens.com/jp/hmi 1 Panel-based SIMATIC Basic HMI SIMATIC HMI SIMATIC HMI PROFINET IO 5 LED ( IP65) 5 LED PROFINET / (PROFIsafe MRP

More information

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f

2: 3: A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz A ( ( 4 ( 5 f(t = sin(2πf 1t + sin(2πf 2 t = 2 sin(2πt(f 1 + f 2 /2 cos(2πt(f 1 f 12 ( TV TV, CATV, CS CD, DAT, DV, DVD ( 12.1 12.1.1 1 1: T (sec f (Hz T= 1 f P a = N/m 2 1.013 10 5 P a 1 10 5 1.00001 0.99999 2,3 1 2: 3: 12.1.2 A, f, φ f(t = A sin(2πft + φ = A sin(ωt + φ ω 2πf 440Hz

More information

AutoTuned-RB

AutoTuned-RB ABCLib Working Notes No.10 AutoTuned-RB Version 1.00 AutoTuned-RB AutoTuned-RB RB_DGEMM RB_DGEMM ( TransA, TransB, M, N, K, a, A, lda, B, ldb, b, C, ldc ) L3BLAS DGEMM (C a Trans(A) Trans(B) b C) (1) TransA:

More information

STM32 ファミリ : Arm® Cortex®-Mコア 32bitマイクロコントローラ

STM32 ファミリ : Arm® Cortex®-Mコア 32bitマイクロコントローラ TM Arm Cortex -M 32bit Releasing your creativity ST Arm Cortex -MST Arm Cortex -M M0 M0+ M3 M4 M7 TM IP F7 H7: DSP FPU Cortex -M7 / 2020 CoreMark F7: DFP FPU Cortex -M7 / 256KB ~ 1082 CoreMark F4: DSP

More information

OAKS16-FullKit

OAKS16-FullKit OAKS32-FullKit 2 Microsoft,MS MS-DOS Microsoft Corporation Windows95,,Windows98 Microsoft Corporation IBM PC/AT International Business Machines Corporation Pentium Intel Corporation Adobe, Acrobat Adobe

More information

ご注意 当カタログ内の商品は 旧税率 (5%) に基づく税込価格となっていますので ご購入の際にはあらためて新税率 (8%) に基づき計算させていただきます

ご注意 当カタログ内の商品は 旧税率 (5%) に基づく税込価格となっていますので ご購入の際にはあらためて新税率 (8%) に基づき計算させていただきます ご注意 当カタログ内の商品は 旧税率 (5%) に基づく税込価格となっていますので ご購入の際にはあらためて新税率 (8%) に基づき計算させていただきます Code Debugger https://www.bitran.co.jp/ice/campform.html LAN CPU 8CPU32RISC OS JTAG Code Debugger DH-1200 ICE152001 CPU 832RISC

More information

OAKS16-FullKit

OAKS16-FullKit 1 2 3 1.... 6 1.1... 6 1.2.CDROM... 6 1.3.... 6 1.4... 8 1.5.... 9 2.... 10 2.1... 10 2.2... 10 3... 11 3.1.... 11 3.2.OAKS16-MINI M30262F8GP... 12 3.3.OAKS16-MINI EXBOARD... 12 3.4.KD30... 12 3.5.NC30WA...

More information

C

C C 1 2 1.1........................... 2 1.2........................ 2 1.3 make................................................ 3 1.4....................................... 5 1.4.1 strip................................................

More information