Quartus II はじめてガイド - プロジェクトの作成方法

Similar documents
Quartus II はじめてガイド - プロジェクトの作成方法

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

ModelSim-Altera - RTL シミュレーションの方法

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II クイック・スタート・ガイド

Nios II Flash Programmer ユーザ・ガイド

複数の Nios II を構成する際の注意事項

Quartus II クイック・スタートガイド

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Quartus II はじめてガイド - EDA ツールの設定方法

オンチップ・メモリ クイック・ガイド for Cyclone III

Quartus II はじめてガイド - デバイス・プログラミング方法

Nios II 簡易シミュレーション

Quartus II はじめてガイド - EDA ツールの設定方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

Quartus II Web Edition インストール・ガイド

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus II はじめてガイド - 回路図エディタの使い方

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

TDK Equivalent Circuit Model Library

PLL クイック・ガイド for Cyclone III

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II ハードウェア・チュートリアル

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - 回路図エディタの使い方

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

Nios II 簡易チュートリアル

AN1609 GNUコンパイラ導入ガイド

Quartus II はじめてガイド - Device & Pin Options 設定方法

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

Nios II 簡易チュートリアル

WES7シンクライアントIE11アップデート手順書

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Nios II - Vectored Interrupt Controller の実装

Oracle ESB - レッスン02: CustomerDataバッチCSVファイル・アダプタ

Ver.70 改版履歴 版数 日付 内容 担当 V /09/5 初版発行 STS V /0/8 証明書バックアップ作成とインストール手順追加 STS V /0/7 文言と画面修正 STS V..0 0//6 Firefox バージョンの変更 STS V..40

Maser - User Operation Manual

クラウドファイルサーバーデスクトップ版 インストールマニュアル 利用者機能 第 1.2 版 2019/04/01 富士通株式会社

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

Shareresearchオンラインマニュアル

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

Quartus II - Chip Planner クイック・ガイド

インテル® Parallel Studio XE 2019 Composer Edition for Fortran Windows : インストール・ガイド

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

はじめにお読みくださいfor HP Smart Zero Client v5.0

Microsoft iSCSI Software Targetを使用したクラスタへの共有ディスク・リソースの提供

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

MAC の Horizon Auton インストール方法 Page 1 of 25

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル

ゲートウェイ ファイル形式

ch2_android_2pri.indd

HP USB Port Managerご紹介資料 -シンクライアント

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

Report Template

マニュアルの表記 呼称について本マニュアルでは以下の呼称を使用しています DataNature Smart 管理ツール :DN 管理ツール DataNature Smart クライアント :DN クライアント 画面に表示されるコマンド名などの文字コマンド名やダイアログボックス名など 画面上の固有の文

IME( 日本語入力システム ) の確認 変更方法 / プロパティ確認の手順 ************************************************************************************ ドキュメント目次 IME( 日本語入力システム )

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

簡単設定でスマホをブロック!仮想化時代の情報漏えい対策に最適なツール

. はじめに 動作環境の全ブラウザで 本書の設定を行ってください 本設定を行わない場合 システムが 正常に動作しない可能性がありますので 必ず設定をお願いいたします また 本書の中で 画 像に番号を付与している箇所以外の設定は お使いの環境のままでご使用ください 参考 : 動作環境の全ブラウザについ

Microsoft PowerPoint - Tutorial_2_upd.ppt

各種パスワードについて マイナンバー管理票では 3 種のパスワードを使用します (1) 読み取りパスワード Excel 機能の読み取りパスワードです 任意に設定可能です (2) 管理者パスワード マイナンバー管理表 の管理者のパスワードです 管理者パスワード はパスワードの流出を防ぐ目的で この操作

ユーザーズマニュアル

NSS利用者マニュアル

DWT-B01 Updater 取扱説明書 発行日 :2011/3/23

WinXP07 ( 版 ) Microsoft Windows XP Professional でのスパイウェア対策ソフトの導入 0. 準備 ネットワークケーブルを繋いでノート PC を起動し, ログオンする 1. スパイウェア対策ソフト (Spybot - Search & D

WindowsシンクライアントでPCと同じ組み合わせキーを利用する方法

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

Trueflow 3 Ver3

2. FileZilla のインストール 2.1. ダウンロード 次の URL に接続し 最新版の FileZilla をダウンロードします URL: なお バージョンが異なるとファイル名が

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

2. インストールの方法 インストールの手順は まずインストーラーをサイトからダウンロードし イールドブック カリキュレーターと Java Web Start をインストールします 次にイールドブック カリキュレーターを起動してサーバー接続し Java のファイルをダウンロードします 以下の手順に従

HD でブロードキャストするための Adobe Media Live Encoder のインストールおよび設定方法 Adobe Media Live Encoder のダウンロード : 手順 1 へ行く 画面下にある Downlo

Microsoft Word - プリンター登録_Windows XP Professional.doc

SonicDICOM Cloud Connector インストール手順書 SonicDICOM Cloud Connector とは 検査装置が撮影した画像を自動的にクラウドへアップロー ドするためのソフトウェアです 1 前準備 クラウド上に PACS を作成する SonicDICOM Cloud

CONTENTS マニュアルの表記... S01-13_01 1.DataNature Smart 全体概要図... S01-13_11 2. 基本操作... S01-13_ Web レポートの表示... S01-13_ 画面構成... S01-13_ 集計表 /

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

TDK Equivalent Circuit Model Library

A 既製のプロジェクトがある場合

機能仕様書フォーマット

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

システムコマンダー8を使用したWindows とLindowOS の共存方法

SP Widget

Oracle トレーニング オンデマンド Oracle ラーニング サブスクリプション演習環境接続ガイド (OUVPN と NoMachine / NX Client 3.5) 2017 年 9 月版 日本オラクル株式会社オラクルユニバーシティ 目次 1. 演習環境の予約 2.NoMachine の

Microsoft PowerPoint - Borland C++ Compilerの使用方法(v1.1).ppt [互換モード]

1.InternetExplorer のバージョン確認手順 1 InternetExplorer を起動します 2 メニューバーより ヘルプ バージョン情報 を選択します メニューバーが表示されていない場合は F10 キーでメニューバーを表示してください 2

Microsoft Word - CBSNet-It連携ガイドver8.2.doc

main.dvi

カルテダウンロード 操作マニュアル

発環境を準備しよう2 章開Eclipseをインストールしようそれでは Eclipseをセットアップしましょう Eclipseは Eclipse Foundationのサイトからダウンロードできます ダウンロードのページを開くと いく

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Transcription:

- Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus II において新規でプロジェクトを作成する操作方法をご紹介しています 2. Quartus II の起動 デスクトップ上に作成したショートカット アイコンから起動してください をダブル クリックします または Windows OS のスタートメニュー その後 Welcome スクリーンが表示されます Welcome スクリーンからプロジェクトを作成することも可能ですが この資料では メニューから作成する方法をご紹介します 今後 Welcome スクリーンを表示させない場合は画面左下の Don t show this again にチェックを入れ Welcome スクリーンを閉じます Quartus II フレーム ワーク ウィンドウ Quartus II 起動画面 Page 1 of 12 Altima Corporation

3. 操作手順 1 File メニュー New Project Wizard を選択 または Tasks ウィンドウの Flow に Full Design を選択し Start Project ディレクトリを展開し Open New Project Wizard をダブル クリックします New Project Wizard ダイアログ ボックスが起動します または 2 Introduction ページの Next ボタンをクリックし 次へ進みます イントロダクション ウィンドウ Introduction ページを次回から表示させたくない場合は Don t show me this introduction again にチェックを入れてください ver.9.0 2009 年 5 月 Page 2 of 12 Altima Corporation

Quartus II はじめてガイド-プロジェクトの作成方法 3 Directory, Name, Top-Level Entity [Page 1 of 5] ページにおいて 各項目を入力します ⅰ: プロジェクトの作業ディレクトリのパス ⅱ: プロジェクト名 ( 推奨 : 最上位階層のエンティティ名と同じにする ) ⅲ: 最上位階層のエンティティ名入力後 Next ボタンをクリックし 次へ進みます i ii iii ブラウズ ボタン既存のディレクトリやファイル名は ここから選択してください ver.9.0 2009 年 5 月 Page 3 of 12 Altima Corporation

4 Add Files [Page 2 of 5] ページにおいて 必要なデザイン ファイル ( 既存のファイル ) を登録します File name 欄のブラウズ ボタンをクリックし ファイルを選択します その後 Add ボタンをクリックして登録してください プロジェクトを作成後にデザインを作成 追加する場合は 何も登録せずに次へ進みます プロジェクト作成後にデザイン ファイルを追加 ( または削除 ) する方法については 本紙 5 章 (8 ページ ) プロジェクト作成後の変更 の デザイン ファイルの登録変更 をご参照ください 入力後 Next ボタンをクリックします デザイン ファイルを登録する際に プロジェクト ディレクトリと異なるディレクトリにデザインが保存されている場合 ( 例えば MegaCoreR などの IP を使用する場合など ) ユーザ ライブラリの指定 (User Library ボタンをクリック ) をする必要があります ブラウズ ボタン既存のファイルをここから選択してください そのプロジェクトのみで指定する場合は Project Libraries に設定してください どのプロジェクトでも常に同じ指定をする場合には Global libraries (all projects) に設定します ユーザ ライブラリの指定 ブラウズ ボタン 補足 Quartus II は デザイン ファイルのフォーマットとして 以下の拡張子をサポートしています 回路図 (Quartus II 用 ) *.bdf 回路図 (MAX+PLUS II 用 ) *.gdf ( 新規作成はできません ) HDL 言語 (AHDL, VHDL, Verilog-HDL) *.tdf, *.vhd, *.v など EDIF( 論理合成後のネットリスト ) *.edf VQM( 論理合成後のネットリスト ) *.vqm ver.9.0 2009 年 5 月 Page 4 of 12 Altima Corporation

5 Family & Device Settings ウィンドウ (Page 3 of 5) において ターゲット デバイスを選択します 1. Device family 枠の Family プルダウン リストより 希望のデバイス ファミリを選択します 2. Target device 枠より 希望の項目を選択します Auto device selected by the Fitter Quartus II が適当なデバイスを選択してくれます (4. へ ) Specific device selected in Available devices list 希望する型式を指定してください (3. へ ) 3. Available devices 欄より 希望する型式を指定します 4. Next ボタンをクリックします Filter( フィルタ機能 ) 設定した条件に該当する型式のみが Available devices に表示されます ver.9.0 2009 年 5 月 Page 5 of 12 Altima Corporation

6 EDA Tool Settings ウィンドウ (Page 4 of 5) において 各種 EDA ツールとのインタフェースを設定します 使用した論理合成ツールや使用するシミュレータ ツール名をプルダウン リストから選択し Format 欄からファイル フォーマットを選択します Quartus II のみを使用して開発する場合には ここでは何も設定せずに <None> のままで Next ボタンをクリックし 次へ進みます また Run のオプションにチェックを入れると コンパイル フロー中に 指定した EDA ツールを自動的に起動することができます この場合 Native Link を使用する必要があります 詳細については FPGA/CPLD 関連アルティマ技術情報サイト EDISON にて公開中の資料 Quartus II はじめてガイド - EDA Tools Settings をご覧ください 選択後 Next ボタンをクリックし次へ進みます 論理合成ツール ファイルの言語選択 シミュレータ ツール 出力ファイルの言語選択 タイミング解析ツール 7 Summary ウィンドウ (Page 5 of 5) でプロジェクトの設定内容を確認し Finish ボタンをクリックします これで プロジェクトの完成です ver.9.0 2009 年 5 月 Page 6 of 12 Altima Corporation

プロジェクト作成後プロジェクトがセットされると Quartus II の画面上位 ( プロジェクト バー ) に作業ディレクトリのパスとプロジェクト名が表示されます また 画面左上のプロジェクト ナビゲータ ウィンドウ (Hierarchy タブ ) には プロジェクト作成時に指定した最上位階層のエンティティ名が表示されます 作業ディレクトリとプロジェクト名 最上位階層のエンティティ名 プロジェクト ナビゲータ ウィンドウ また 作業ディレクトリ内には Quartus II プロジェクト ファイル (.qpf) と設定ファイル (.qsf) が生成されます 4. 既存プロジェクトの起動 すでに Quartus II で作成したプロジェクトを 再び Quartus II 上で開くときには 以下のように操作します 1 File メニュー Open Project を選択 または Tasks ウィンドウの Start Project ディレクトリを展開し Open Existing Project をダブル クリックします または ver.9.0 2009 年 5 月 Page 7 of 12 Altima Corporation

2 作業ディレクトリから目的のプロジェクト ファイル ( プロジェクト名.qpf) を選択し 開く ボタンをクリックします 5. プロジェクト作成後の変更 プロジェクト作成後 作成する際に設定した内容を変更することができます 例えばデザイン ファイルの登録やデバイスの型式など変更が必要な場合には 以下の操作でそれぞれ編集を行ってください デザイン ファイルの登録変更 Project メニュー Add/Remove Files in Project を選択 または Tasks ウィンドウの Create Design ディレクトリ Add/Remove Files in Project をダブル クリックします デザイン ファイルを追加するときは ブラウズ ボタンよりファイルを選択し Add ボタンにて追加登録します デザイン ファイルを登録から除外するときは 一覧よりファイルを選択し Remove ボタンで削除します ver.9.0 2009 年 5 月 Page 8 of 12 Altima Corporation

ユーザ ライブラリの変更 Assignments メニュー Settings Libraries (Category 内 ) を選択 または Tasks ウィンドウ Start Project ディレクトリ Specify Project Libraries をダブル クリックします ライブラリを追加するときは ブラウズ ボタンよりファイルを選択し Add ボタンにて追加登録します ライブラリを登録から除外するときは 一覧よりライブラリ パスを選択し Remove ボタンで削除します ターゲット デバイスの変更 Assignments メニュー Device を選択 または Tasks ウィンドウ Assign Constraints ディレクトリ Set Project and Compiler Settings をダブル クリックし Category 欄より Device を指定します ver.9.0 2009 年 5 月 Page 9 of 12 Altima Corporation

EDA ツールの変更 Assignments メニュー EDA Tool Settings を選択 または Tasks ウィンドウ Assign Constraints ディレクトリ Set Project and Compiler Settings をダブル クリックし Category 欄より EDA Tool Settings を指定します 変更する EDA ツールの種類を Category より選択し 変更します 6. 最上位階層デザインの変更 Quartus II は プロジェクト作成時に設定した最上位階層デザインをコンパイルやシミュレーションの対象とし実行します 現在使用しているプロジェクトにおいて 最上位階層のデザイン ファイルが別のファイルに変更になった また 下位階層デザインを最上位と見立ててファンクション シミュレーションを行いたいなどの理由でコンパイルやシミュレーションが実行されるデザインを変更する場合には 以下の操作で切り替えます 1 File メニュー Open を選択 または Tasks ウィンドウ Create Design ディレクトリ Open Existing Design File をダブル クリックし 最上位階層として扱いたいデザイン ファイルを開きます 2 Project メニュー Set as Top-Level Entity を選択します 3 最上位階層エンティティのデザインが変更されます コンパイルやシミュレーションの対象となる最上位階層デザインが変更されたことは プロジェクト ナビゲータ ウィンドウで確認できます ver.9.0 2009 年 5 月 Page 10 of 12 Altima Corporation

コンパイルやシミュレーションの対象デザインが 変更前に設定されているデザインの下位階層デザインである場合は 下図のように プロジェクト ナビゲータ ウィンドウより下位階層のエンティティを右クリックで選択し プルダウン メニューから Set as Top-Level Entity を選択します 最上位階層のエンティティ名が変更されます 注意 : 最終的にコンパイルを実行するとき 再び最上位階層エンティティに切り替えることを忘れないようにしてください ver.9.0 2009 年 5 月 Page 11 of 12 Altima Corporation

弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 222-8563 横浜市港区新横浜 1-5-5 マクニカ第二ビル TEL 045-476-2155 FAX 045-476-2156 大阪営業所 532-0011 大阪市淀川区西中島 6-1-3 アストロ新大阪第二ビル 7 階 TEL 06-6307-7670 FAX 06-6307-7671 名古屋営業所 460-0003 名古屋市中区錦 1-6-5 名古屋錦シティビル 7 階 TEL 052-202-1024 FAX 052-202-1025 宇都宮営業所 321-0953 宇都宮市東宿郷 4-2-24 センターズビル 7 階 TEL 028-637-4488 FAX 028-637-4489 ver.9.0 2009 年 5 月 Page 12 of 12 Altima Corporation