ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

Similar documents
ModelSim-Altera - RTL シミュレーションの方法

Quartus II クイック・スタートガイド

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II クイック・スタート・ガイド

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - Convert Programming File の使い方

Nios II SBT Flash Programmer ユーザ・ガイド

オンチップ・メモリ クイック・ガイド for Cyclone III

Nios II Flash Programmer ユーザ・ガイド

Nios II 簡易シミュレーション

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Nios II - Vectored Interrupt Controller の実装

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - デバイス・プログラミング方法

複数の Nios II を構成する際の注意事項

Quartus II Web Edition インストール・ガイド

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

Nios II 簡易チュートリアル

HyperLynx SI/PI/Thermal ライセンス設定ガイド

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

TDK Equivalent Circuit Model Library

Quartus II はじめてガイド - 回路図エディタの使い方

AN1609 GNUコンパイラ導入ガイド

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

DWT-B01 Updater 取扱説明書 発行日 :2011/3/23

TDK Equivalent Circuit Model Library

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

Nios II 簡易チュートリアル

発環境を準備しよう2 章開Eclipseをインストールしようそれでは Eclipseをセットアップしましょう Eclipseは Eclipse Foundationのサイトからダウンロードできます ダウンロードのページを開くと いく

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

FA/LAインストールガイド(トライアル版)

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

PLL クイック・ガイド for Cyclone III

PowerPoint プレゼンテーション

Nios II ハードウェア・チュートリアル

Ver.70 改版履歴 版数 日付 内容 担当 V /09/5 初版発行 STS V /0/8 証明書バックアップ作成とインストール手順追加 STS V /0/7 文言と画面修正 STS V..0 0//6 Firefox バージョンの変更 STS V..40

ユーザーズマニュアル

機能仕様書フォーマット

Ver.50 改版履歴 版数 日付 内容 担当 V //9 新規作成 STS V..0 06/6/ 画像修正 STS V..0 06/6/8 画像修正 STS V /9/5 画像追加 (Windows0 Anniversary の記載 ) STS V // 文言修

Microsoft Word - Android開発環境構築.doc

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

Shareresearchオンラインマニュアル

目次 1. 回答作成手順 2 2. ツールの起動 3 3. 一般情報の入力 6 4. 成分表の入力 9 5. 依頼者情報の入力 エラーチェック XMLファイルの作成 動作設定 ( 任意 ) ( ご参考 ) 各種シートのボタン機能 ( ご参

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

NSS利用者マニュアル

目次 移行前の作業 3 ステップ1: 移行元サービス メールソフトの設定変更 3 ステップ2: アルファメール2 メールソフトの設定追加 6 ステップ3: アルファメール2 サーバへの接続テスト 11 ステップ4: 管理者へ完了報告 11 移行完了後の作業 14 作業の流れ 14 ステップ1: メー

インテル® Parallel Studio XE 2019 Composer Edition for Fortran Windows : インストール・ガイド

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

アルファメール 移行設定の手引き Outlook2016

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc

CONTENTS 目 次 第 1 章はじめに 2 第 2 章プログラムの更新 5 1

PowerPoint プレゼンテーション

1/14

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

成功しました と表示されればライセンス認証の更新は完了です プロダクトキーを入力した後にテキストエディタが開き エラーメッセージが表示された場合 WEB ブラウザを起動して指定されたアドレスにアクセスしアカウントでログインします 画面に表示された ライセンスファイル を全てコピーし Originのダ

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

FPGAメモリおよび定数のインシステム・アップデート

DragonDisk

DigiCert EV コード署名証明書 Microsoft Authenticode署名手順書

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション

Pirates Buster Series Secure Viewer セットアップマニュアル (Web インストーラ)

UTF8対応日本語簡易マニュアル

A 既製のプロジェクトがある場合

クライアントソフトの導入方法 (macos 版 ) 日本医師会 ORCA 管理機構株式会社

WES7シンクライアントIE11アップデート手順書

(2) [ バックアップツール ] が表示されます [1] [2] [3] [4] [5] [6] Windows Storage Server 2012 バックアップ手順 (V_01) < 画面の説明 > [1] バックアップ項目リスト登録されているバックアップセットの一覧です [2] 新規 ボタ

WindowsXPインストール

ArcGIS Runtime SDK for WPF インストールガイド (v10.2.5)

Quartus II はじめてガイド - Device & Pin Options 設定方法

CLUSTERPRO MC StorageSaver for BootDisk 2.1 (for Windows) インストールガイド 2016(Mar) NEC Corporation はじめに 製品導入の事前準備 本製品のインストール 本製品の初期設定 本製品のアンインストール

1.InternetExplorer のバージョン確認手順 1 InternetExplorer を起動します 2 メニューバーより ヘルプ バージョン情報 を選択します メニューバーが表示されていない場合は F10 キーでメニューバーを表示してください 2

ご利用の前に 目次 - 0. 推奨環境とソフトウェアのバージョン 推奨環境について Windows8 Windows8. について Internet Explorer のバージョン確認 SAMWEB の初期設定 セキュリティ設定..

目次 1. 概要 動作環境

スクールCOBOL2002

Quartus II はじめてガイド - 回路図エディタの使い方

Alfa-Products_installguide

Trueflow 3 Ver3

PowerPoint Presentation

Microsoft PowerPoint - Borland C++ Compilerの使用方法(v1.1).ppt [互換モード]

Ver.50 改版履歴 版数 日付 内容 担当 V..00 0//6 初版発行 STS V..0 03/4/7 サポート環境の追加 STS V..0 06/9/5 画面の修正 STS V /4/ 画面の修正 STS V // 文言と画面修正 FireFox のバージョン変更に

Transcription:

ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc.

目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3. NativeLink での活用方法... 15 Appendix: ライブラリの作成および登録 ( 上級者向け )... 17 Type A: プロジェクト共通でライブラリを作成... 17 Type B: プロジェクトごとにライブラリを作成... 21 ver. 10 2013 年 3 月 2/24 ALTIMA Corp. / ELSENA,Inc.

1. はじめに この資料では メンター グラフィックス社のシミュレーション検証ソフトウェア ModelSim SE/PE/DE ( 以下 ModelSim と表記 ) において アルテラ デバイスをターゲットにした際のシミュレーションに必要なライブラリの作成および登録方法をご紹介します 本資料では 下記バージョンを対象として作成しています Quartus II v12.1 SP1 ModelSim SE 10.1d ModelSim において アルテラ メガファンクションを使用したデザインのファンクション シミュレーションや 配置配線後にタイミング シミュレーションを実行する場合は アルテラ シミュレーション ライブラリが必要なため 従来 ユーザが自ら必要なライブラリのラインナップやモデルファイルを調べ それらをコンパイルし ライブラリを作成する必要がありました ModelSim でアルテラ デバイスを対象としたシミュレーションを実行する場合 シミュレーション ライブラリの作成方法から 3 つに分類できます A. Quartus II NativeLink を使用 B. Quartus II でシミュレーション用ライブラリを作成し ModelSim にてシミュレーションを実行 C. ModelSim でシミュレーション用ライブラリを作成し シミュレーションを実行 ライブラリの作成からシミュレーションまでのフローを大枠に図 1-1 に記載します ver. 10 2013 年 3 月 3/24 ALTIMA Corp. / ELSENA,Inc.

A NativeLink を設定 B シミュレーション用 ライブラリを作成 NativeLink により シミュレーション実行 C-1 C-2 シミュレーション結果 プロジェクトの作成 シミュレーション用 プロジェクトの作成 表示 ( 起動 ) ライブラリを作成 ( 起動 ) 第 3 章 ライブラリの登録 プロジェクトの作成 シミュレーション用 ( マッピング ) ( 起動 ) ライブラリを作成 通常通り シミュレーション実行 ライブラリの登録 ( マッピング ) シミュレーション用 ライブラリのコンパイル 第 2 章 通常通り プロジェクトの再起動 シミュレーション実行 Type A 通常通り シミュレーション実行 Type B 図 1-1 シミュレーション ライブラリ作成と ModelSim におけるシミュレーション フロー イメージ アルテラ シミュレーション ライブラリの作成など煩わしい作業を簡易的にする機能が Quartus II にあります Quartus II の EDA Simulation Library Compiler 機能です ver. 10 2013 年 3 月 4/24 ALTIMA Corp. / ELSENA,Inc.

EDA Simulation Library Compiler は EDA 言語シミュレータ ツールのための機能で アルテラ シミュレーション ライブラリをコンパイルするためのツールです EDA Simulation Library Compiler は ターゲット デバイスを指定するだけでユーザが必要なファイルをコンパイルし シミュレーション ライブラリを生成してくれます そのためユーザは ModelSim において ライブラリの登録 ( マッピング ) をするだけとなり 作業が簡素化されます また必要なライブラリを調べることもないため アルテラ デバイスをはじめて使用するユーザにも簡単にシミュレーションを実行していただけます この機能は Quartus II で NativeLink シミュレーションを行う場合にも活用できます なお EDA Simulation Library Compiler をサポートしている EDA シミュレータ ツールは ModelSim の他に Questa Active-HDL Riviera-PRO があります Quartus II において EDA Simulation Library Compiler を使用せずに ModelSim でライブラリを作成および登録する方法を希望される場合には 本資料の Appendix: ライブラリの作成および登録 ( 上級者向け ) をご覧ください ver. 10 2013 年 3 月 5/24 ALTIMA Corp. / ELSENA,Inc.

2. 操作方法 Quartus II にてアルテラ シミュレーション ライブラリを作成し ModelSim へ登録します 2-1. Quartus II におけるシミュレーション ライブラリの作成 Quartus II の EDA Simulation Library Compiler を使用し シミュレーション ライブラリを作成します 1 Quartus II を起動します 2 Tools メニュー Launch Simulation Library Compiler を選択します 3 EDA Simulation Library Compiler が起動します ( 図 2-1-1) 図 2-1-1 EDA Simulation Library Compiler の起動 4 Settings タブ EDA simulation tool セクション Tool name において プルダウンリストから ModelSim を選択します ( 図 2-1-2) 図 2-1-2 Tool name ver. 10 2013 年 3 月 6/24 ALTIMA Corp. / ELSENA,Inc.

5 Executable location で ModelSim の起動プログラム ファイル (modelsim.exe) が保存されているフォルダを指定します ( ブラウズボタンを使用してください )( 図 2-1-3) ブラウズボタン 図 2-1-3 Exacutable location 6 Compilation options セクション Library families セクション Available families のリストより ターゲット デバイス ファミリを選択し ボタンをクリックして Selected families へ移行します ( 図 2-1-4) 図 2-1-4 Selected families 補足 Selected families 欄に複数のデバイスを選択することも可能です 指定した分のデバイス用ライブラリが一括で生成されます ただし 生成されたライブラリの格納先は共通であるため ライブラリが混在し重複するライブラリは上書きされます ( 図 2-1-5 参照 ) そのため デバイス ファミリごとに管理できるよう デバイス ファミリ単位でシミュレーション ライブラリを生成することを推奨します ver. 10 2013 年 3 月 7/24 ALTIMA Corp. / ELSENA,Inc.

参考図 : Arria V と Stratix IV 用ライブラリを同時に生成した場合のフォルダ 図 2-1-5 ライブラリ生成時のフォルダ イメージ 7 Compilation options セクション Library families セクション Library language より 生成するライブラリの言語を指定します ( 図 2-1-6) 図 2-1-6 Library Language 8 Output セクション Output directory に ライブラリの保存先を指定します ( 図 2-1-7) ブラウズボタン 図 2-1-7 Output directory 9 その他 必要に応じてオプションを設定します 10 Start Compilation ボタンをクリックし ライブラリを生成します ( 図 2-1-8) 図 2-1-8 Start Compilation 11 バックグラウンドで ModelSim によりライブラリのコンパイルが実行されます 12 無事に終了すると Compilation was successful のメッセージが表示されます ver. 10 2013 年 3 月 8/24 ALTIMA Corp. / ELSENA,Inc.

13 指定フォルダに 指定言語 _libs フォルダが生成され 指定デバイス用のアルテラ シミュレーション ライブラリが生成されます 14 Close ボタンをクリックし Quartus II によるアルテラ シミュレーション ライブラリの作成は終了です なお このフォルダ内には ファンクション シミュレーションで使用するライブラリとタイミング シミュレーションで使用するライブラリそれぞれが混在しています ver. 10 2013 年 3 月 9/24 ALTIMA Corp. / ELSENA,Inc.

2-2. ライブラリの登録 第 2-1 章 Quartus II におけるシミュレーション ライブラリの作成 で作成したライブラリを ModelSim で登録 ( マッピング ) します マッピング作業は ModelSim のプロジェクトを作成した後に行います 1 ModelSim を起動しプロジェクトを作成します プロジェクトが既存にある場合は プロジェクトを起動します プロジェクト作成の操作方法は 担当する代理店の技術サイトにおいて以下の資料をご参考ください ModelSim-Altera Edition RTL シミュレーション または ModelSim-Altera Edition タイミング シミュレーション 2 File メニュー New Library より Create a New Library ダイアログボックスを表示します 3 作成済みのライブラリにそれぞれライブラリ名を付けます ( 図 2-2-1) 図 2-2-1 Create a New Library Create: a map to an existing library を選択します ( 既存ライブラリに対してマッピングする ) Library Name: ライブラリ名を入力します ライブラリの名称を何にするかは 開発言語が VHDL / Verilog HDL のどちらか またこれから検証するシミュレーションがファンクションなのかタイミングなのかにより異なります VHDL Verilog HDL ファンクション シミュレーションの場合 MegaWizard Plug-In Manager の EDA タブでアナウンスされたライブラリ名 タイミング シミュレーションの場合 VHO に宣言されているライブラリ名 - 任意のライブラリ名でマッピング ( 推奨は VHDL と同様 ) - マッピングしなくてもライブラリ指定はできます ライブラリ名に関する詳細は 次頁の補足をご参考ください Library Maps to: 先ほど作成したライブラリのフォルダをブラウズボタンにより指定します ライブラリに対する作業は以上です ライブラリ登録完了後は ModelSim において通常のシミュレーショ ver. 10 2013 年 3 月 10/24 ALTIMA Corp. / ELSENA,Inc.

ン フローに従ってください 補足 : ライブラリ名に関する詳細事項 Create a New Library ダイアログボックスの Library Name にどのようなライブラリ名をつければ良いかは 開発言語により異なります また これから検証するシミュレーションがファンクションなのかタイミングなのかによっても異なります 以下を参考にし 適切なライブラリ名を付けてください VHDL の場合 ファンクション シミュレーションの場合 ユーザ デザイン内に存在するアルテラ メガファンクション (Quartus II の MegaWizard Plug-In Manager で作成したファンクション ) を作成する際に MegaWizard Plug-In Manager の EDA タブでアナウンスされたライブラリ名を用います ( 図 2-2-2) メガファンクションごとにライブラリが異なりますので それぞれにライブラリのマッピングを行います 図 2-2-2 Simulation Libraries 上記例の場合は ModelSim でのライブラリ名とフォルダ指定は以下のようになります ( 図 2-2-3) 同じ名称を入力 同じ名称のフォルダを指定 ( ブラウズボタンの使用必須 ) 図 2-2-3 Create a New Library ver. 10 2013 年 3 月 11/24 ALTIMA Corp. / ELSENA,Inc.

タイミング シミュレーションの場合 Quartus II のコンパイルで生成されたタイミング シミュレーション用ネットリストファイル VHO に宣言されているライブラリ名を用います 主にデバイス ファミリ名ですが ファミリによりライブラリが複数必要な場合もありますので ご注意ください LIBRARY ALTERA; LIBRARY ARRIAII; LIBRARY IEEE; USE ALTERA.ALTERA_PRIMITIVES_COMPONENTS.ALL; USE ARRIAII.ARRIAII_COMPONENTS.ALL; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ( 以下省略 ) ( ターゲット デバイスが Arria II GX の場合 ) 上記例の場合は ModelSim でのライブラリ名とフォルダ指定は 2 種類作成することになり それぞれ以下のようになります ( 図 2-2-4) 図 2-2-4 Create a New Library ver. 10 2013 年 3 月 12/24 ALTIMA Corp. / ELSENA,Inc.

Verilog HDL の場合 Verilog HDL の場合ライブラリをマッピングせずにシミュレーションが行えますが 操作を簡素化するため マッピングすることをお勧めします 以下 操作手順です ファンクション シミュレーションの場合 Verilog HDL の場合にはライブラリという概念がないため 任意のライブラリ名を付けてマッピングすることが可能です また Library Maps to で指定するフォルダは VHDL と同様に Quartus II で生成したライブラリのフォルダを指定します ただし混乱をさけるため 弊社では VHDL と同様のフローを推奨しています タイミング シミュレーションの場合 上記ファンクション シミュレーション同様 任意のライブラリ名を付けることが可能です ただ Verilog HDL では Quartus II のコンパイルで生成されたタイミング シミュレーション用ネットリストファイル VO にライブラリ名が明記されないため どのライブラリが必要なのかわかりません そこで サンプルとして VHDL 用のタイミング シミュレーション ネットリストファイル (VHO) を生成させ ライブラリ宣言部分を参考にされると良いでしょう VHO の生成は Quartus II においてコンパイルが完了していれば容易に行えます 操作は以下のとおりです 1 Quartus II の Assignments メニュー Settings EDA Tool Settings Simulation において 出力フォーマットを一時的に VHDL に変更します このとき 出力先のフォルダは 本来必要なネットリストファイルと区別するため 下図のように別フォルダを用意することをお勧めします ( 図 2-2-5) 図 2-2-5 Simulation 2 ネットリストファイルのみを生成させるため Processing メニュー Start Start EDA Netlist Writer を実行します 3 指定フォルダに生成された VHO を開き LIBRARY 宣言しているもののうち IEEE 以外をメモに取ります これらがライブラリ名に当たります 4 ModelSim でタイミング シミュレーション ライブラリをマッピングします Library Name 上記 3) でメモした名称を入力 Library Maps to Quartus II で作成した同一名のライブラリ用フォルダを指定 ver. 10 2013 年 3 月 13/24 ALTIMA Corp. / ELSENA,Inc.

2-3. ライブラリの選択 ModelSim においてライブラリ登録が完了すれば あとは通常操作となりますが Verilog HDL の場合のみデザインのロード実行前にライブラリの選択が必要です VHDL 特別な作業は必要なし アルテラ用ライブラリ作成 & マッピングが完了していれば MegaWizard Plug-In Manager で作成した VHDL ファイル あるいは配置配線後のシミュレーション用ネットリスト VHO をコンパイル可能です 生成された VHDL VHO には アルテラ用のライブラリ / パッケージ宣言が記述済みで あるため Verilog HDL デザインのロード前に 作成したアルテラ シミュレーション用ライブラリを選択 1 Simulate メニュー Start Simulation Libraries タブを選択します 2 Add ボタン Select Library においてライブラリを指定します その際 事前にライブラリがマッピングされていれば ボタンをクリックしてプルダウンリストから作成したライブラリ名を選択してください ( 図 2-3-1) もし ライブラリをマッピングしていない場合には Browse ボタンより Quartus II で作成したライブラリ群のうち 適切な名称のフォルダを指定してください マッピングしている場合は にてプルダウンリストから選択 マッピングしていない場合は Browse ボタンにてライブラリ用フォルダパスを指定 図 2-3-1 Libraries ver. 10 2013 年 3 月 14/24 ALTIMA Corp. / ELSENA,Inc.

3. NativeLink での活用方法 NativeLink 機能とは Quartus II 操作フローに EDA 論理合成ツールや EDA シミュレータ ツールの実行を統合させたツール インタフェースのことです 従来 アルテラ シミュレーション ライブラリを NativeLink 用に設定するには do ファイルや tcl ファイルなどのスクリプト ファイルに記載するか あらかじめ作成した ModelSim のプロジェクト ファイルへ記述する必要がありました そのようなとき Quartus II の EDA Simulation Library Compiler を活用すると NativeLink 経由での ModelSim シミュレーションの際 GUI でアルテラ シミュレーション ライブラリを指定することができ さらに容易に操作ができます NativeLink の操作に関しては 担当する代理店の技術サイトにおいて以下の資料をご参考ください Quartus II はじめてガイド - EDA ツールの設定 ( こちらの 第 4 章 NativeLink の設定 をご覧ください ) NativeLink で ModelSim シミュレーションをする際に Quartus II の EDA Simulation Library Compiler で作成したライブラリを指定する方法は 以下のとおりです 1 Quartus II Assignments メニュー Settings EDA Tool Settings Simulation を選択します 2 Tool name のプルダウンリストより ModelSim を選択し Run gate-level simulation automatically after compilation にチェックを入れます ( 図 3-1) 図 3-1 Simulation 3 必要に応じ 各種オプションを設定します 4 NativeLink settings セクションから実行したいフローを選択します 5 More NativeLink Settings ボタンをクリックします Existing option settings より Location of user compiled simulation library オプションを選択し Setting 欄をダブルクリックします あらかじめ EDA Simulation Library Compiler で作成したライブラリが保存されているフォルダ ( 指定言語 _libs フォルダが保存されているフォルダ ) を指定します ( 図 3-2) ver. 10 2013 年 3 月 15/24 ALTIMA Corp. / ELSENA,Inc.

図 3-2 More NativeLink Settings 以上で設定は終了です その後は通常の NativeLink シミュレーションを実行してください 実行例 Quartus II のコンパイル フローの一環として ModelSim でシミュレーションする場合 - Processing メニュー Start Compilation この場合 ファンクション シミュレーションは実行されません NativeLink シミュレーションを単独で実行する場合 ( 図 3-3) - Tools メニュー Run Simulation Tool RTL Simulation または - Tools メニュー Run Simulation Tool Gate Level Simulation RTL Simulation を実行の場合には Quartus II において Analysis & Elaboration が終了している必要があります Gate Level Simulation を実行する場合には 配置配線 タイミング検証まで終了している必要があります 図 3-3 Run Simulation Tool ver. 10 2013 年 3 月 16/24 ALTIMA Corp. / ELSENA,Inc.

Appendix: ライブラリの作成および登録 ( 上級者向け ) 本資料では ModelSim で必要なライブラリの作成を Quartus II の EDA Simulation Library Compiler を使用したフローでご紹介しました Appendix では ModelSim でライブラリを作成および登録するフローをご紹介します なお このフローは ModelSim の操作に慣れているユーザ向けであるため 弊社では本編でご案内している EDA Simulation Library Compiler を活用した方法を推奨いたします ModelSim でライブラリを作成および登録する際 2 つのタイプで構成できます ユーザの作業プロジェクトに合ったタイプでご利用ください Type A: プロジェクト共通でライブラリを作成し プロジェクトごとにライブラリ登録を行う Type B: プロジェクトごとにライブラリを作成し プロジェクトごとにライブラリ登録を行う 各タイプの操作方法は 以下にご紹介します なお 各タイプともライブラリの作成方法のみをご案内し 共通の操作である プロジェクトごとにライブラリ登録を行う は 本資料 2-2 項 ライブラリの登録 をご参照ください Type A: プロジェクト共通でライブラリを作成 この方法は 使用するコンピュータのあるフォルダにライブラリを作成し 各プロジェクトではライブラリ登録のみを行うため 複数プロジェクトでライブラリを共有することが可能です つまり ライブラリの複製がないので コンピュータのファイルサイズを抑えることができます 1 プロジェクトを作成 ( または起動 ) していない状態で File メニュー Change Directory を選択し ライブラリを作成するフォルダを指定します 2 ライブラリ用フォルダを作成するため File メニュー New Library を選択します ( 図 A-1) Create: a new library を選択 Library Physical Name: ライブラリを保存するフォルダ名を入力 OK ボタンをクリックすると 指定先フォルダに指定した名称のフォルダが生成されます 複数ライブラリを作成する場合には この操作を繰り返してください なお作成するライブラリのフォルダ名は任意ですが 推奨はアルテラ シミュレーション ライブラリ名です 詳細は 本資料 7 ページ 補足 : ライブラリ名に関する詳細事項 をご参考ください ver. 10 2013 年 3 月 17/24 ALTIMA Corp. / ELSENA,Inc.

ライブラリを新規作成 図 A-1 Create a New Library 3 Compile メニュー Compile を選択し Compile Source Files ダイアログボックスを表示します 4 Library のプルダウンリストから 先ほど作成したライブラリ名を選択します ( 図 A-2) 図 A-2 Compile Source Files 5 ファイルの場所 では 4 で指定したライブラリに該当するアルテラのシミュレーション用ライブラリのモデルファイルを指定します アルテラのシミュレーション用ライブラリのモデルファイルの保存場所は Quartus II のインストール フォルダ ( 以下参照 ) にあります ( 図 A-3) Quartus II インストール フォルダ eda sim_lib ver. 10 2013 年 3 月 18/24 ALTIMA Corp. / ELSENA,Inc.

図 A-3 Compile Source Files sim_lib フォルダ内から目的のモデルファイルを指定します その後 Compile ボタンをクリックし コンパイルを実行します コンパイルが完了すると Library で指定したフォルダに指定したモデルファイルのコンパイル情報が保存されます sim_lib フォルダには VHDL 用モデルファイルと Verilog HDL 用モデルファイルが混在しているため 取り扱いに注意が必要です また VHDL の場合 1 つのライブラリを作成する際に 2 つのモデルファイルが必要になります 指定するモデルファイル名は ライブラリ名と同じもの ( 例外あり ) もしくはファイル名の冒頭にライブラリ名が使用されているものをコンパイルしてください 詳細は 次頁のファンクション シミュレーション用ライブラリの対応表をご確認ください タイミング シミュレーション用ライブラリの対応表は Quartus II のヘルプ Quartus II Help v12.1 > Altera Simulation Models をご覧ください なお 対応表では Verilog HDL 用ライブラリの表記を VHDL と見やすく区分けするためフォルダ末尾に _ver と記載していますが 実際に作業する際は _ver を付ける 付けないはユーザの管理になりますので ご自由にご利用ください ver. 10 2013 年 3 月 19/24 ALTIMA Corp. / ELSENA,Inc.

下表は アルテラ シミュレーション ライブラリにおけるファンクション シミュレーション用ライブラリの対応表です タイミング シミュレーション用ライブラリの対応表は Quartus II のヘルプ Quartus II Help v12.1 > Altera Simulation Models をご覧ください ライブラリモデルファイルコンパイルの目的備考 altera altera_primitives.vhd altera_primitives_components.vhd アルテラ プリミティブ altera_ver altera_primitives.v アルテラ メガファンクション altera_mf altera_mf.vhd altera_mf_components.vhd アルテラ メガファンクション VHDL-93 用 altera_mf_ver altera_mf.v アルテラ メガファンクション lpm 220model.vhd 220pack.vhd アルテラ LPM lpm_ver 220model.v アルテラ LPM altera_lnsim altera_lnsim.sv Stratix V 以降の Stratix シリーズに必要 altera_lnsim_components.vhd VHDL/Verilog HDL シミュレーション用 SystemVerilog ファイル altera_lnsim_ver altera_lnsim.sv ( メンター製品以外 ) ファミリに関係なく必要 VHDL/Verilog HDL シミュレーション用 SystemVerilog ファイル mentor altera_lnsim_for_vhdl.v sgate sgate_pack.vhd sgate.vhd ハイレベル プリミティブ用ライブラリ sgate_ver sgate.v ハイレベル プリミティブ用ライブラリ 6 ライブラリが複数ある場合は 操作 4~5 を該当するフォルダおよびファイルに指定しなおして実行してください 7 ライブラリのコンパイル終了後 Done ボタンをクリックし ライブラリ作成は終了です 8 プロジェクトを新規作成 ( または既存プロジェクトを起動 ) します 9 プロジェクトにおいてライブラリの登録をします 操作方法は 本資料 2-2 項 ライブラリの登録 をご参照ください 10 以後は 通常のシミュレーション作業を行います 以上がプロジェクト共通でライブラリを作成し プロジェクトごとにライブラリ登録を行う方法です ver. 10 2013 年 3 月 20/24 ALTIMA Corp. / ELSENA,Inc.

Type B: プロジェクトごとにライブラリを作成 この方法は プロジェクトを作成したフォルダごとにライブラリを作成し ライブラリ登録を行うため 作業プロジェクトにおいて Quartus II バージョンごとのライブラリ管理が可能です ただし コンピュータ内に同一のライブラリが共存するため コンピュータのファイルサイズが増加します 1 File メニュー New Project から 新規プロジェクトを作成 ( または既存プロジェクトを起動 ) します 2 ライブラリ用フォルダを作成し同時に ( 事前に ) ライブラリ登録も行うため File メニュー New Library を選択します ( 図 B-1) Create: a new library and a logical mapping to it を選択 Library Name: ライブラリ名を入力 Library Physical Name: 自動的に Library Name で入力した名称が表示される OK ボタンをクリックすると プロジェクトのフォルダに指定した名称のフォルダが生成します 複数ライブラリを作成する場合には この操作を繰り返してください 作成するライブラリのフォルダ名は任意ですが 推奨はアルテラ シミュレーション ライブラリ名です 詳細は 本資料 7 ページ 補足 : ライブラリ名に関する詳細事項 をご覧ください 図 B-1 Create a New Library 3 ライブラリを作成する ( ライブラリ用モデルファイルをコンパイルする ) ために File メニュー Close Project より一度プロジェクトを終了します 4 Compile メニュー Compile を選択し Compile Source Files ダイアログボックスを表示します ver. 10 2013 年 3 月 21/24 ALTIMA Corp. / ELSENA,Inc.

5 Library のプルダウンリストから 先ほど作成したライブラリ名を選択します ( 図 B-2) 図 B-2 Compile Source Files 6 ファイルの場所 では 5 で指定したライブラリに該当するアルテラのシミュレーション用ライブラリのモデルファイルを指定します アルテラのシミュレーション用ライブラリのモデルファイルの保存場所は Quartus II のインストール フォルダ ( 以下参照 ) にあります ( 図 B-3) Quartus II インストール フォルダ eda sim_lib sim_lib フォルダ内から目的のモデルファイルを指定します その後 Compile ボタンをクリックし コンパイルを実行します コンパイルが完了すると Library で指定したフォルダに指定したモデルファイルのコンパイル情報が保存されます 図 B-3 Compile Source Files sim_lib フォルダには VHDL 用モデルファイルと Verilog HDL 用モデルファイルが混在しているため 取り扱いに注意が必要です また VHDL の場合 1 つのライブラリを作成する際に 2 つのモデルファイルが必要になります ver. 10 2013 年 3 月 22/24 ALTIMA Corp. / ELSENA,Inc.

指定するモデルファイル名は ライブラリ名と同じもの ( 例外あり ) もしくはファイル名の冒頭にライブラリ名が使用されているものをコンパイルしてください 詳細は 下記のファンクション シミュレーション用ライブラリの対応表をご確認ください タイミング シミュレーション用ライブラリの対応表は Quartus II のヘルプ Quartus II Help v12.1 > Altera Simulation Models をご覧ください なお 対応表では Verilog HDL 用ライブラリの表記を VHDL と見やすく区分けするためフォルダ末尾に _ver と記載していますが 実際に作業する際は _ver を付ける 付けないはユーザの管理になりますので ご自由にご利用ください 下表は アルテラ シミュレーション ライブラリにおけるファンクション シミュレーション用ライブラリの対応表です タイミング シミュレーション用ライブラリの対応表は Quartus II のヘルプ Quartus II Help v12.1 > Altera Simulation Models をご覧ください ライブラリモデルファイルコンパイルの目的備考 altera altera_primitives.vhd altera_primitives_components.vhd アルテラ プリミティブ altera_ver altera_primitives.v アルテラ メガファンクション altera_mf altera_mf.vhd altera_mf_components.vhd アルテラ メガファンクション VHDL-93 用 altera_mf_ver altera_mf.v アルテラ メガファンクション lpm 220model.vhd 220pack.vhd アルテラ LPM ライブラリモデルファイルコンパイルの目的備考 lpm_ver 220model.v アルテラ LPM altera_lnsim altera_lnsim.sv Stratix V 以降の Stratix シリーズに必要 altera_lnsim_components.vhd VHDL/Verilog HDL シミュレーション用 SystemVerilog ファイル altera_lnsim_ver altera_lnsim.sv ( メンター製品以外 ) ファミリに関係なく必要 VHDL/Verilog HDL シミュレーション用 SystemVerilog ファイル mentor altera_lnsim_for_vhdl.v sgate sgate_pack.vhd sgate.vhd ハイレベル プリミティブ用ライブラリ sgate_ver sgate.v ハイレベル プリミティブ用ライブラリ 7 ライブラリが複数ある場合は 操作 5~6 を該当するフォルダおよびファイルに指定しなおして実行してください 8 ライブラリのコンパイル終了後 Done ボタンをクリックし ライブラリ作成は終了です 9 プロジェクトを再度起動します 10 以後は 通常のシミュレーション作業を行います 以上がプロジェクトごとにライブラリを作成し ライブラリ登録を行う方法です ver. 10 2013 年 3 月 23/24 ALTIMA Corp. / ELSENA,Inc.

改版履歴 Revision 年月概要 1 2013 年 3 月新規作成 免責 及び ご利用上の注意 弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 本資料を入手されました下記代理店までご 一報いただければ幸いです 株式会社アルティマ : 222-8563 横浜市港北区新横浜 1-5-5 マクニカ第二ビル TEL: 045-476-2155 HP: http://www.altima.co.jp 技術情報サイト EDISON : https://www.altima.jp/members/index.cfm 株式会社エルセナ : 163-0928 東京都新宿区西新宿 2-3-1 新宿モノリス 28F TEL: 03-3345-6205 HP: http://www.elsena.co.jp 技術情報サイト ETS : https://www.elsena.co.jp/elspear/members/index.cfm 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください ver. 10 2013 年 3 月 24/24 ALTIMA Corp. / ELSENA,Inc.