階層デザイン手法ガイド

Size: px
Start display at page:

Download "階層デザイン手法ガイド"

Transcription

1 階 層 デザイン 手 法 ガイド

2 Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface with Xilinx FPGAs. Except as stated herein, none of the Design may be copied, reproduced, distributed, republished, downloaded, displayed, posted, or transmitted in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Any unauthorized use of the Design may violate copyright laws, trademark laws, the laws of privacy and publicity, and communications regulations and statutes. Xilinx does not assume any liability arising out of the application or use of the Design; nor does Xilinx convey any license under its patents, copyrights, or any rights of others. You are responsible for obtaining any rights you may require for your use or implementation of the Design. Xilinx reserves the right to make changes, at any time, to the Design as deemed desirable in the sole discretion of Xilinx. Xilinx assumes no obligation to correct any errors contained herein or to advise you of any correction if such be made. Xilinx will not assume any liability for the accuracy or correctness of any engineering or technical support or assistance provided to you in connection with the Design. THE DESIGN IS PROVIDED AS IS" WITH ALL FAULTS, AND THE ENTIRE RISK AS TO ITS FUNCTION AND IMPLEMENTATION IS WITH YOU. YOU ACKNOWLEDGE AND AGREE THAT YOU HAVE NOT RELIED ON ANY ORAL OR WRITTEN INFORMATION OR ADVICE, WHETHER GIVEN BY XILINX, OR ITS AGENTS OR EMPLOYEES. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DESIGN, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, TITLE, AND NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOST DATA AND LOST PROFITS, ARISING FROM OR RELATING TO YOUR USE OF THE DESIGN, EVEN IF YOU HAVE BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. THE TOTAL CUMULATIVE LIABILITY OF XILINX IN CONNECTION WITH YOUR USE OF THE DESIGN, WHETHER IN CONTRACT OR TORT OR OTHERWISE, WILL IN NO EVENT EXCEED THE AMOUNT OF FEES PAID BY YOU TO XILINX HEREUNDER FOR USE OF THE DESIGN. YOU ACKNOWLEDGE THAT THE FEES, IF ANY, REFLECT THE ALLOCATION OF RISK SET FORTH IN THIS AGREEMENT AND THAT XILINX WOULD NOT MAKE AVAILABLE THE DESIGN TO YOU WITHOUT THESE LIMITATIONS OF LIABILITY. The Design is not designed or intended for use in the development of on-line control equipment in hazardous environments requiring fail-safe controls, such as in the operation of nuclear facilities, aircraft navigation or communications systems, air traffic control, life support, or weapons systems ( High-Risk Applications Xilinx specifically disclaims any express or implied warranties of fitness for such High-Risk Applications. You represent that use of the Design in such High-Risk Applications is fully at your risk Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks are the property of their respective owners. 2 japan.xilinx.com 階 層 デザイン 手 法 ガイド

3 Demo Design License 2010 Xilinx, Inc. This Design is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version. This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details. You should have received a copy of the GNU Library General Public License along with this design file; if not, see: The PlanAhead software source code includes the source code for the following programs: Centerpoint XML The initial developer of the original code is CenterPoint Connective Software Software Engineering GmbH. portions created by CenterPoint Connective Software Software Engineering GmbH. are Copyright CenterPoint - Connective Software Engineering GmbH. All Rights Reserved. Source code for CenterPoint is available at NLView Schematic Engine Copyright Concept Engineering. Static Timing Engine by Parallax Software Inc. Copyright Parallax Software Inc. Java Two Standard Edition Includes portions of software from RSA Security, Inc. and some portions licensed from IBM are available at Powered By JIDE 階 層 デザイン 手 法 ガイド japan.xilinx.com 3

4 Free IP Core License This is the Entire License for all of our Free IP Cores. Copyright (C) , ASICs World Services, LTD. AUTHORS All rights reserved. Redistribution and use in source, netlist, binary and silicon forms, with or without modification, are permitted provided that the following conditions are met: Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. Neither the name of ASICS World Services, the Authors and/or the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS AS IS AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. 本 資 料 は 英 語 版 (v.12.3) を 翻 訳 したもので 内 容 に 相 違 が 生 じる 場 合 には 原 文 を 優 先 します 資 料 によっては 英 語 版 の 更 新 に 対 応 していないものがあります 日 本 語 版 は 参 考 用 としてご 使 用 の 上 最 新 情 報 につきましては 必 ず 最 新 英 語 版 をご 参 照 ください 4 japan.xilinx.com 階 層 デザイン 手 法 ガイド

5 目 次 このガイドについて... 7 階 層 デザインの 概 要... 7 階 層 デザイン フロー... 8 その 他 のリソース... 8 パーティションの 概 要... 9 パーティションのステート パーティションの 保 持 レベル インポート 先 ディレクトリ パーティションを 使 用 する 状 況 の 判 断 パーティション 使 用 の 利 点 と 欠 点 設 計 に 関 する 考 慮 事 項 最 適 化 の 制 限 パーティションの 境 界 を 越 えた 最 適 化 は 実 行 されない...13 パーティションの 入 力 に 供 給 される 定 数...14 パーティションの 未 接 続 の 出 力 は 最 適 化 されない つのパーティションのロジックを 別 のパーティションのロジックにパックできない...14 パーティションを 使 用 するインスタンスの 制 限...14 BoundaryOpt 属 性 を 使 用 した IP コアの 最 適 化 デザインの 構 造 HDL に 関 する 注 意 事 項 入 力 ポートおよび 出 力 ポートにレジスタを 付 ける...17 パーティション 内 外 のネットを 管 理 する...17 ファンアウトの 大 きいネットを 管 理 する...17 パーティションの 入 力 として 定 数 を 使 用 しない...17 ポートを 未 接 続 のままにしない...17 専 用 接 続 インポートの 制 限 パーティションのフロアプラン デザイン 保 持...19 合 成 パーティションフロー 合 成 パーティション フローの 概 要 Synplify Pro/Premier の 使 用 Synplify ボトムアップ フロー...22 Synplify Pro/Premier のインクリメンタル 合 成 フロー...22 Precision の 使 用 XST の 使 用 階 層 デザイン 手 法 ガイド japan.xilinx.com 5

6 コマンド ラインでのパーティション フロー PXML ファイルの 作 成 インプリメンテーションの 実 行 パーティションでサポートされていないインプリメンテーション オプション...28 パーティションのエクスポート 必 須 ファイル...29 オプションのファイル...29 パーティションのステートを import に 変 更 デザインでの 反 復 作 業 SmartXplorer の 使 用 パーティションの 削 除 PlanAhead でのパーティション フロー 新 規 プロジェクトの 作 成 パーティションの 作 成 PXML のインポート PXML のエクスポート BoundaryOpt 属 性 の 設 定 パーティションのフロアプラン パーティション デザインのインプリメント パーティションのプロモート パーティション ステートの 管 理 保 持 レベルの 管 理 デザイン 実 行 の 管 理 デザイン 保 持 フロー コマンド ライン フロー PlanAhead フロー パーティションのデバッグ インプリメンテーション エラー インポートしようとするとエラーが 発 生 する...47 インポートしたときにデザインのタイミングが 満 たされない...47 デザインを 配 置 できない...48 デザインを 配 線 できない...49 パーティションによりスライスの 使 用 量 が 増 加 する...49 BitGen の DRC エラー ChipScope のサポート japan.xilinx.com 階 層 デザイン 手 法 ガイド

7 このガイドについて このガイドでは ザイリンクス ソフトウェアでのパーティションおよびデザイン 保 持 の 手 法 について 説 明 します デザ イン 保 持 は 階 層 デザイン フローの 1 つです このガイドには 次 の 章 が 含 まれています 第 1 章 パーティションの 概 要 : ザイリンクス ソフトウェアの 階 層 デザイン フローの 基 本 ブロックであるパ ーティションの 概 要 を 説 明 します 第 2 章 設 計 に 関 する 考 慮 事 項 : デザインの 論 理 および 物 理 レイアウト HDL コーディングのガイドライ ン フロアプランの 必 要 性 など パーティションおよび 階 層 デザイン フローを 使 用 して 設 計 する 際 のさまざ まな 注 意 事 項 を 示 します 第 3 章 合 成 パーティションフロー : デザインでパーティションを 使 用 する 際 のインクリメンタル 合 成 およ びボトムアップ 合 成 について 説 明 し サポートされる 合 成 ツールを 示 します 第 4 章 コマンド ラインでのパーティション フロー : ISE コマンド ライン ツールでの 合 成 後 のデザイン フローにおけるパーティションの 設 定 および 使 用 方 法 を 示 します 第 5 章 PlanAhead でのパーティション フロー : PlanAhead ソフトウェア ツールでの 合 成 後 のデザイン フローにおけるパーティションの 設 定 および 使 用 方 法 を 示 します 第 6 章 デザイン 保 持 フロー : ISE コマンド ライン ツールおよび PlanAhead ソフトウェアを 使 用 した RTL フローおよびネットリスト フローでデザイン 保 持 を 使 用 する 方 法 を 示 します このガイドには 次 の 付 録 が 含 まれています 付 録 A パーティションのデバッグ : デザイン 保 持 フローで 発 生 するエラーのデバッグおよびトラブルシ ューティング 方 法 を 示 します 既 知 の 問 題 およびソリューションは アンサー #35019 を 参 照 してください このアンサーへのリンクは その 他 のリソース にあります メモ : このガイドを 参 照 する 前 に PlanAhead ソフトウェアの 基 本 的 な 機 能 に 慣 れておくことをお 勧 めしま す PlanAhead ソフトウェアの 詳 細 は その 他 のリソース にリストされている PlanAhead ユーザー ガイド および PlanAhead チュートリアルを 参 照 してください 階 層 デザインの 概 要 階 層 デザイン (HD) は デザインの 論 理 階 層 を 利 用 したデザイン 手 法 で フラット デザイン フローでの 制 限 を 克 服 します フラット フローではデザイン 全 体 を 一 度 に 最 適 化 できるという 利 点 がありますが 階 層 デザインではフラット フローでは 使 用 できない 機 能 を 使 用 できます 階 層 デザインを 使 用 すると 合 成 およびインプリメンテーションの 実 行 時 間 および 実 行 回 数 を 削 減 でき タイミング 要 件 を 満 たして 保 持 するのが 困 難 であったり 一 貫 したインプリメン テーション 結 果 が 得 られないといった 問 題 を 解 決 できます 階 層 デザインでは デザインを 小 型 のロジック ブロック に 分 割 できるので 主 要 な 機 能 を 個 別 に 開 発 できます これらの 機 能 は FPGA の 設 計 では 新 しいものであり 新 し い 設 計 フローを 使 用 することが 可 能 となります 階 層 デザイン 手 法 ガイド japan.xilinx.com 7

8 その 他 のリソース 階 層 デザイン フロー 現 在 のところ ソフトウェアでは 階 層 デザイン フローの 次 の 機 能 がサポートされています デザイン 保 持 : デザインを 小 型 のモジュールに 分 割 し 個 々のモジュールが 完 成 しタイミングが 満 たされ たら その 結 果 を 保 持 します パーシャル リコンフィギュレーション : FPGA のユーザー 定 義 の 部 分 を 動 作 中 にリコンフィギュレーション し デザインのほかの 部 分 に 影 響 を 与 えずにロジックを 変 更 消 費 電 力 を 削 減 リソースを 節 約 することが 可 能 です SCC (Single Chip Cryptography) : デザインの 厳 しいセキュリティ 要 件 を 複 数 の FPGA に 適 用 するの ではなく 1 つの FPGA に 適 用 します これは パーシャル リコンフィギュレーション ソリューションおよび 場 合 によってデザイン 保 持 を 利 用 したフローを 使 用 することにより 達 成 します 今 後 のザイリンクス ISE Design Suite ソフトウェアには 次 の 階 層 デザイン フローも 含 まれる 予 定 です チーム デザイン : デザインのコード インプリメンテーション 検 証 をチーム メンバーに 分 割 する 方 法 で す 各 チーム メンバーのブロックを 最 終 的 なインプリメンテーションにインポートすることにより 最 終 的 な デザインを 作 成 します この 際 各 ブロックの 配 置 配 線 は 保 持 されます IP 再 利 用 : ザイリンクス IP サードパーティ IP またはユーザー IP を 検 証 済 みの 配 置 配 線 結 果 を 使 用 してデザインにインポートします IP のインプリメント タイミング 検 証 ファンクション 検 証 を 再 実 行 する 必 要 はありません その 他 のリソース パーシャル リコンフィギュレーションの 詳 細 は 次 のサイトから パーシャル リコンフィギュレーション ユーザー ガイ ド (UG702) を 参 照 してください SCC フローの 詳 細 は SCC サイト を 参 照 してください この 情 報 およびマニュアルを 入 手 するには まず Web サイトで 登 録 をする 必 要 があります PlanAhead ソフトウェアの 詳 細 は 次 の 資 料 を 参 照 してください PlanAhead ユーザー ガイド (UG632) PlanAhead チュートリアル PlanAhead に 関 する 一 般 的 な 情 報 オンライン デモ ホワイト ペーパーは 次 を 参 照 してください 既 知 の 問 題 およびその 回 避 方 法 については アンサー #35019 を 参 照 してください 8 japan.xilinx.com 階 層 デザイン 手 法 ガイド

9 第 1 章 パーティションの 概 要 この 章 には 次 のセクションが 含 まれています パーティションのステート パーティションの 保 持 レベル インポート 先 ディレクトリ パーティションを 使 用 する 状 況 の 判 断 パーティション 使 用 の 利 点 と 欠 点 階 層 デザイン フローでは デザインを 小 型 のブロックに 分 割 します これらのブロックは パーティション と 呼 ばれ ザイリンクス ソフトウェアの 階 層 デザイン フローの 基 本 ブロックとなります 複 雑 なデザインを 小 型 の 作 業 しやすい 部 分 に 分 割 するため パーティションを 使 用 して 階 層 の 境 界 を 定 義 します パーティションにより 階 層 モジュールの 周 囲 に 境 界 が 作 成 され デザインのほかの 部 分 と 分 離 されます インプリメントおよびエクスポートしたパーティショ ンは 単 純 なコピー/ 貼 り 付 け 操 作 によりデザインに 挿 入 することにより そのモジュール インスタンスの 配 置 配 線 結 果 を 保 持 します パーティションの 定 義 および 制 御 は xpartition.pxml (PXML) ファイルで 指 定 します ツールを 実 行 すると このファイルが 読 み 込 まれます PXML ファイルは PlanAhead ソフトウェア グラフィカル ユーザー インターフェ イスを 使 用 して 作 成 するか 提 供 されている PXML テンプレートを 使 用 するかまたは 使 用 せずに 手 動 で 作 成 でき ます XPML ファイルの 作 成 については 第 4 章 コマンド ラインでのパーティション フロー を 参 照 してください 12.x リリースでは 次 の FPGA アーキテクチャでパーティションがサポートされています Spartan -3 プラットフォーム Spartan-3E プラットフォーム Spartan-3L プラットフォーム Spartan-3A プラットフォーム Spartan-3A DSP プラットフォーム Spartan-6 ファミリ Virtex -4 ファミリ Virtex-5 ファミリ Virtex-6 ファミリ 階 層 デザイン 手 法 ガイド japan.xilinx.com 9

10 パーティションのステート パーティションのステート パーティションは そのステートによってインプリメントまたはインポートできます パーティションを 初 めて ISE Design Suite インプリメンテーション ツール (NGDBuild MAP PAR など) で 実 行 する 場 合 パーティションのステ ートを implement に 設 定 する 必 要 があります インプリメンテーションが 完 了 したら パーティションをエクスポート し 今 後 の 実 行 で 結 果 をインポートできます ただし エクスポートされた 結 果 は 内 部 ロジックおよびパーティショ ン インターフェイスが 変 更 されていない 場 合 にのみ 今 後 のインプリメンテーションで 使 用 可 能 です パーティション モジュールに 変 更 を 加 えた 場 合 は そのパーティションの 配 置 配 線 をアップデートする 必 要 があり ます 変 更 されたパーティションを 再 インプリメントし 変 更 されなかったパーティションは 以 前 の 実 行 結 果 をインポ ートできます パーティションをアップデートする 必 要 のある 変 更 は 次 のとおりです HDL コードの 変 更 またはパーティションに 関 連 したネットリストが 変 更 される 変 更 AREA_GROUP LOC 制 約 など パーティションに 関 連 する 物 理 制 約 の 変 更 デバイス パッケージ スピード グレードなど ターゲット アーキテクチャの 変 更 パーティションに 接 続 された ChipScope Analyzer コアの 追 加 および 接 続 の 変 更 エクスポートされたパーティションのアップデートが 必 要 な 場 合 は パーティションのステートを 正 しく 管 理 するた め PXML ファイルで State 属 性 を 変 更 します パーティションのステートを 正 しく 管 理 しないと インプリメンテーシ ョン ツールでエラーが 発 生 します パーティションを 再 インプリメントする 必 要 のない 変 更 は 次 のとおりです TIMESPEC など ロジックの 物 理 的 なロケーションに 影 響 しない 制 約 の 変 更 par xe など インプリメンテーション オプションの 変 更 パーティションの 保 持 レベル パーティションの 主 な 目 的 は 以 前 の 結 果 をインポートすることにより 実 行 結 果 を 保 持 することです 保 持 レベルは 指 定 できます デフォルトでは パーティションをインポートしたときに 配 置 配 線 が 100% 保 持 されます このデフォルト 設 定 を 配 置 結 果 のみ ( 配 線 は 保 持 されない) 合 成 結 果 のみ ( 配 置 配 線 は 保 持 されない) を 保 持 するよう 変 更 できます パーティションをインポートすると 保 持 レベルにかかわらず 配 置 配 線 を 含 むすべてのイ ンプリメンテーション 情 報 もインポートされます 保 持 レベルは インプリメンテーション ツールで 結 果 を 向 上 するた めにインポートされた 配 置 配 線 をどれだけ 変 更 できるかを 指 定 するものです 一 部 のパーティションで 保 持 レベル を 緩 和 するとデバイス リソースが 解 放 され インプリメンテーション ツールでほかのパーティションをより 柔 軟 に 配 置 配 線 できるようになります 保 持 レベルはパーティションごとに 設 定 でき インポートされたパーティションにのみ 適 用 されます タイミング クリティカルなパーティション モジュールでタイミングが 満 たされ 変 更 する 予 定 がない 場 合 は (IP コアな ど) 配 置 までを 保 持 するのが 適 切 です パーティションがタイミング クリティカルでなく タイミングが 満 たされてい ない 場 合 は 保 持 レベルを 緩 和 してツールでソリューションがより 柔 軟 に 検 索 されるようにします パーティションを 使 用 する 目 的 が 検 証 時 間 の 短 縮 である 場 合 は 常 に 保 持 レベルを 配 線 に 設 定 してください デ ザインのほかの 部 分 のタイミングを 満 たすため または 配 線 を 完 了 するために 保 持 レベルを 変 更 する 必 要 がある 場 合 は パーティションを 再 検 証 する 必 要 があります パーティションをフロアプランすると 配 置 レベルを 緩 和 する 必 要 がなくなることがあります 10 japan.xilinx.com 階 層 デザイン 手 法 ガイド

11 インポート 先 ディレクトリ インポート 先 ディレクトリ パーティションをインポートする 際 は エクスポートする 結 果 の 場 所 を 指 定 する 必 要 があります インプリメントされた デザインをエクスポートすると デザインに 含 まれるすべてのパーティションが 自 動 的 にエクスポートされます 可 能 な 限 り 1 つのエクスポート ディレクトリを 使 用 し そこからインポートすることをお 勧 めします パーティションをデザ インにインポートすると 関 連 するデザインがメモリで 開 きます 複 数 のデザイン 実 行 ( 複 数 の 場 所 ) からのパーティ ションをインポートすると すべてのデザインがメモリで 開 くため メモリの 使 用 量 が 増 加 し インプリメンテーション ツールのランタイムも 長 くなります また 配 線 の 競 合 が 発 生 する 可 能 性 も 高 くなり 一 部 のネットの 再 配 線 が 必 要 と なる 場 合 があります パーティションを 使 用 する 状 況 の 判 断 パーティションは パーティションが 必 要 なモジュールにのみ 使 用 してください パーティションを 過 剰 に 使 用 する と ランタイムおよびパフォーマンスが 悪 化 する 可 能 性 があります モジュールが 独 立 したファンクション ブロックで はなく 個 別 の 階 層 を 持 たない 場 合 ほかのブロックとのグローバル 最 適 化 が 有 益 な 場 合 は フラット 最 適 化 により よい 結 果 が 得 られます パーティションを 適 切 に 使 用 するには 第 2 章 設 計 に 関 する 考 慮 事 項 のガイドラインに 従 ってください パーティションの 使 用 に 適 しているのは 次 のものです DSP モジュールまたは EDK システムなどのファンクション ブロック 高 パフォーマンス コア デバイスに 一 緒 に 配 置 する 必 要 のあるロジックを 含 むインスタンス デザイン ガイドラインに 従 ったモジュール パーティション 使 用 の 利 点 と 欠 点 階 層 フローを 使 用 するのには 複 数 の 利 点 がありますが 欠 点 もあります パーティションを 使 用 する 主 な 欠 点 は パーティション 階 層 の 境 界 が 最 適 化 に 影 響 するということです パーティションの 境 界 を 越 えた 最 適 化 は 実 行 され ないので その 点 を 考 慮 してデザインを 設 計 しないと パーティションを 追 加 することによりタイミング リソース 使 用 量 ランタイムに 大 きく 影 響 します 注 意 深 く 設 計 しても 最 適 化 および 配 置 に 関 するほかの 制 限 もあるので リソ ース 使 用 量 が 増 加 し タイミングが 悪 化 する 場 合 があります 適 切 に 設 計 されたデザインではこれらの 影 響 は 最 小 限 に 抑 えられますが これらの 制 限 を 念 頭 に 置 いておくことは 重 要 です パーティションの 最 適 化 への 影 響 およびこれらの 影 響 を 最 小 限 に 抑 える 設 計 方 法 については 次 の 章 を 参 照 して ください 階 層 デザイン 手 法 ガイド japan.xilinx.com 11

12 パーティション 使 用 の 利 点 と 欠 点 12 japan.xilinx.com 階 層 デザイン 手 法 ガイド

13 第 2 章 設 計 に 関 する 考 慮 事 項 階 層 デザイン フローを 使 用 するかどうかは タイミング クロージャや 結 果 に 一 貫 性 がないなどの 問 題 が 発 生 してか らではなく 設 計 の 初 期 段 階 で 決 定 します 階 層 デザイン フローの 利 点 を 最 大 限 に 活 用 するには 最 初 に 考 慮 す べき 事 項 が 多 数 あります 考 慮 事 項 には デザインの 論 理 および 物 理 レイアウト HDL コーディング ガイドライン フロアプラン 制 約 の 仕 様 などがあります これらについて 詳 細 に 説 明 します この 章 には 次 のセクションが 含 まれています 最 適 化 の 制 限 BoundaryOpt 属 性 を 使 用 した IP コアの 最 適 化 デザインの 構 造 HDL に 関 する 注 意 事 項 専 用 接 続 インポートの 制 限 パーティションのフロアプラン 最 適 化 の 制 限 デザインでパーティションを 使 用 すると 最 適 化 が 制 限 されることに 注 意 してください このセクションでは パーテ ィションを 使 用 することによる 最 適 化 の 制 限 をリストします デザインにパーティションを 1 つ 追 加 しただけで すべ てのインスタンスがパーティションの 一 部 になります パーティションとして 指 定 されていないインスタンスは 最 上 位 パーティションの 一 部 となります 次 に 最 適 化 の 制 限 をリストし 各 制 限 がデザインのロジックに 与 える 影 響 の 例 およびこれらの 影 響 を 回 避 する 方 法 または 最 小 限 に 抑 える 方 法 を 示 します パーティションの 境 界 を 越 えた 最 適 化 は 実 行 されない この 制 限 には 親 パーティションと 子 パーティションの 間 子 パーティション 間 の 最 適 化 も 含 まれます この 制 限 は フラット デザインと 比 較 して タイミングとリソース 使 用 量 に 影 響 します 組 み 合 わせロジックを 駆 動 する 組 み 合 わせロジックでロジック 間 にパーティションの 境 界 があると このロジックは 最 適 化 されません 2 つのパーティションに 共 通 のロジックがあっても リソースは 共 有 されません 4 つのパーティションに 供 給 される 制 御 バスに 対 して 4 つのパーティションすべてに 同 じデコード ロジックがある 場 合 このロジックが 4 つ 作 成 されま す フラット フローでは このロジックは 共 有 されます 同 様 に 複 数 のパーティションにこのバスのレジスタがある 場 合 複 数 のレジスタ セットが 推 論 されます フラット フローでは 1 つのレジスタ セットに 最 適 化 され 供 給 され ます 階 層 デザイン 手 法 ガイド japan.xilinx.com 13

14 BoundaryOpt 属 性 を 使 用 した IP コアの 最 適 化 パーティションの 入 力 に 供 給 される 定 数 最 適 化 で 削 除 されることを 目 的 としてパーティションの 入 力 を 定 数 値 に 固 定 している 場 合 この 定 数 はパーティショ ンの 境 界 を 越 えてパーティションに 挿 入 できないので 最 適 化 は 実 行 されません この 状 態 は コアまたはモジュールの 特 定 の 機 能 をイネーブルまたはディスエーブルにするために 定 数 を 使 用 し ている 場 合 に 発 生 します モジュールのロジックをポートを 介 して 制 御 することはお 勧 めしません このような 方 法 はパーティションでは 機 能 しません パラメータ/ 属 性 を 使 用 するか パッケージ ファイルを 含 めてください パーティションの 未 接 続 の 出 力 は 最 適 化 されない パーティションの 出 力 が 何 も 駆 動 していない 場 合 ソース ロジックはフラット フローでのように 最 適 化 されません 1 つのパーティションのロジックを 別 のパーティションのロジックにパックできない この 制 限 は フリップフロップと LUT の 比 率 が 大 きく 異 なる 場 合 に 影 響 します パーティション 内 の 組 み 合 わせロジックが 最 終 的 にフリップフロップに 達 する 出 力 を 駆 動 している 場 合 LUT はフリ ップフロップと 共 にパックできません パーティションを 使 用 するインスタンスの 制 限 一 部 のインスタンスでは パーティションはサポートされません 次 のような 制 限 があります 個 別 の HDL ファイルで 定 義 されていないモジュール/エンティティのインスタンスには パーティションを 使 用 できません インスタンス 名 が 変 更 する 可 能 性 のあるインスタンスには パーティションを 使 用 できません (インスタンス 名 がパラメータまたはジェネレート 文 に 基 づいている 場 合 ) BoundaryOpt 属 性 を 使 用 した IP コアの 最 適 化 ISE Design Suite 12.3 には パーティションに BoundaryOpt と 呼 ばれる 新 しい 属 性 が 追 加 されています BoundaryOpt を 使 用 するとパーティション インターフェイスが 緩 和 され 入 力 / 出 力 定 数 および 未 接 続 出 力 の 最 適 化 が 一 部 可 能 となります ただし この 属 性 にはこの 後 説 明 するように 制 限 があるので IP コアなどの RTL アクセ スのないモジュールでのみ 使 用 してください RTL アクセスのあるモジュールでは HDL コードでこれらのインター フェイスの 問 題 を 解 決 する 必 要 があります インプリメンテーション 実 行 でパーティションに BoundaryOpt on を 設 定 すると パーティション インターフェイスが 変 更 (パーティション ポートが 最 適 化 で 削 除 ) される 場 合 があります これらの 最 適 化 されたポートにロジックを 接 続 するために 親 パーティションも 変 更 された 場 合 パーティション インターフェイスはインプリメンテーション 実 行 から エクスポートされたデータと 一 致 しなくなります エクスポートされたデータは 失 われ 親 パーティションと 子 パーティ ションの 両 方 を 再 インプリメントする 必 要 があります これは 次 の 2 つの 規 則 にまとめられます 通 常 は 各 実 行 でパーティション インターフェイスの 関 係 を 同 じにする 必 要 があります BoundaryOpt を 使 用 した 場 合 この 条 件 が 満 たされなくなる 場 合 があります (ポートが 最 適 化 で 削 除 される) BoundaryOpt の 値 は 各 実 行 で 同 じにする 必 要 があります 14 japan.xilinx.com 階 層 デザイン 手 法 ガイド

15 BoundaryOpt 属 性 を 使 用 した IP コアの 最 適 化 BoundaryOpt により 最 適 化 できるものとできないものがあります 次 の 図 に BoundaryOpt で 最 適 化 される 場 合 を 示 します 図 1 では BoundaryOpt により 定 数 がパーティションの 境 界 を 1 つだけ 越 えて 定 数 がプッシュされ パーティション インターフェイスからポートが 削 除 されます ルート スルー ネットは 削 除 されません 図 1 : 定 数 のプッシュ 図 2 では BoundaryOpt により 未 使 用 のパーティション 出 力 が 切 断 され パーティション インターフェイスからポー トが 削 除 されます 図 2 : 未 使 用 の 出 力 階 層 デザイン 手 法 ガイド japan.xilinx.com 15

16 デザインの 構 造 デザインの 構 造 デザインをフラット フローを 使 用 してインプリメントすると 合 成 ツールおよびインプリメンテーション ツールでデザイ ン 全 体 をスピードおよびエリアを 優 先 して 最 適 化 できます デザインは 階 層 の 境 界 を 越 えて 最 適 化 されるので デ ザインの 論 理 レイアウトはそれほど 重 要 ではありません デザインを 階 層 フローでインプリメントする 場 合 ロジック が 分 離 されるので パーティションが 最 適 化 の 壁 となり デザインに 大 きく 影 響 します 図 3 のようなデザインがある とします 図 3 : デザイン 階 層 の 例 左 側 のデザイン レイアウトには 同 じ 階 層 レベルに MEM および DMA というモジュールがあります TOP の 下 に あるすべてのモジュールにパーティションを 追 加 すると MEM と DMA の 間 では 最 適 化 は 実 行 されません これら の 2 つのモジュールに 関 連 するロジックが 多 数 ある 場 合 フラット フローでは 最 適 化 が 実 行 されますが 階 層 デザ イン フローでは 最 適 化 は 実 行 されず リソース 使 用 量 が 増 加 し よいタイミング 結 果 が 得 られない 可 能 性 がありま す デザイン 階 層 を 右 側 のレイアウトのように 変 更 し 共 有 するロジックを 含 むモジュールを 1 つのパーティション DATA の 下 にグループ 化 すると フラット フローと 同 様 に MEM と DMA の 間 で 最 適 化 が 実 行 されます HDL に 関 する 注 意 事 項 階 層 デザイン フローを 使 用 するかどうかは デザインの 構 築 モジュール インターフェイスの 定 義 およびモジュ ール コードの 記 述 の 前 に 決 定 する 必 要 があります 階 層 デザイン フローは フラット フローでタイミング 問 題 が 発 生 した 後 に 使 用 するタイミング クロージャ 手 法 ではありません 階 層 デザイン フローの 利 点 を 活 かすため コーデ ィングに 関 するガイドラインおよび 推 奨 事 項 があります パーティションを 使 用 するとモジュールが 分 離 され ソフトウェアで 境 界 を 越 えた 最 適 化 は 実 行 されなくなります こ の 問 題 を 回 避 してパフォーマンスを 向 上 するには 次 のガイドラインに 従 う 必 要 があります 入 力 ポートおよび 出 力 ポートにレジスタを 付 けます パーティションの 内 外 にあるロジックを 駆 動 するネットを 管 理 します ファンアウトの 大 きいネットを 管 理 します パーティションの 入 力 として 定 数 を 使 用 しないようにします 入 力 ポートおよび 出 力 ポートを 未 接 続 のままのしないようにします 専 用 接 続 のすべてのエレメントを 1 つのパーティション 内 に 配 置 します 16 japan.xilinx.com 階 層 デザイン 手 法 ガイド

17 HDL に 関 する 注 意 事 項 入 力 ポートおよび 出 力 ポートにレジスタを 付 ける 入 力 および 出 力 にレジスタを 付 けることは 非 常 に 重 要 です パーティションの 境 界 を 越 えた 最 適 化 は 実 行 されない ので パーティションの 入 力 および 出 力 でタイミングの 問 題 が 発 生 しやすくなります 入 力 および 出 力 にレジスタを 付 けると ツールでパーティション 内 のパスに 焦 点 を 置 いた 処 理 が 可 能 となり モジュールのタイミングを 確 実 に 保 持 できます パーティションの 境 界 を 横 切 るネットは ネットに 接 続 されているパーティションすべてをインポートしな い 限 り 保 持 されません そのため タイミング クリティカル ネットがこの 境 界 を 横 切 る 場 合 インポートした 場 合 でも パーティションでタイミング 違 反 が 発 生 する 可 能 性 があります このネットにレジスタが 付 いていれば パーティショ ン 内 でタイミング クリティカルな 変 更 が 発 生 するのを 回 避 できます パーティション 内 外 のネットを 管 理 する パーティション 内 で 1 つのネットを 内 部 ネットおよび 出 力 ネットの 両 方 として 使 用 しないでください ネットをパーテ ィション 内 および 出 力 ポートとして 使 用 する 必 要 がある 場 合 は ネットのソースを 複 製 し 1 つのネットを 内 部 ネットと して もう 1 つのネットを 出 力 ポートとして 使 用 します ファンアウトの 大 きいネットを 管 理 する パーティション モジュールの 出 力 のファンアウトも 考 慮 する 必 要 があります パーティションの 出 力 のファンアウトが 大 きく デザインの 複 数 のエリアに 接 続 される 場 合 ドライバを 複 製 する 必 要 がある 場 合 もあります フラット フロー では この 複 製 は 自 動 的 に 実 行 されますが 階 層 デザイン フローでは 手 動 で 複 製 する 必 要 があります パーティションの 入 力 として 定 数 を 使 用 しない IP コアなどのネットリスト モジュールには ロジックの 不 要 な 部 分 がマップの 最 適 化 で 削 除 されることを 前 提 として 設 計 されているものがあります このような IP では コアの 特 定 のロジックをイネーブルまたはディスエーブルにす るために 入 力 を 定 数 に 接 続 します これにより IP をネットリストとして 提 供 すると 同 時 に 多 少 のカスタマイズも 可 能 になります ただし パーティションでは 境 界 を 越 えた 最 適 化 が 実 行 されないので この 方 法 は 機 能 しません ポ ートに 定 数 を 接 続 することにより 最 適 化 でロジックが 削 除 されることを 前 提 とした EDIF/NGC コアにパーティション を 直 接 追 加 すると ロジックの 最 適 化 は 実 行 されず 最 適 な 結 果 は 得 られません このように 動 作 するコアにパー ティションを 追 加 する 必 要 がある 場 合 は EDIF/NGC コアを 含 む HDL ラッパを 追 加 し ラッパにパーティションを 配 置 します HDL ラッパのポート リストには IP コアをデザインの 残 りの 部 分 に 接 続 するのに 必 要 な I/O のみを 含 め るようにし 定 数 の 割 り 当 てはラッパ ロジック 内 に 残 します パーティションを IP コアの 上 のレベルで 定 義 すること により マップで 定 数 をコアにトレースすることが 可 能 となり 必 要 な 最 適 化 が 実 行 されます ポートを 未 接 続 のままにしない 未 接 続 のネットでも 同 じような 最 適 化 の 問 題 が 発 生 します パーティションの 出 力 を 未 接 続 のままにすると ソー スのないこのネットに 接 続 されたドライバがマップの 最 適 化 で 削 除 されません このロジックを HDL コードで 削 除 で きない 場 合 は パーティションを 含 むラッパを 作 成 するとロジックがマップの 最 適 化 で 削 除 されます 同 様 に ロジックで 駆 動 されておらず パーティションの 外 部 のロジックに 接 続 されいてるパーティションの 出 力 も エラーの 原 因 となります この 場 合 インプリメンテーション ツールでパーティションの 出 力 が 駆 動 されていないこと を 検 出 できず 部 分 的 なネットが 配 線 されます これは 無 効 であり BitGen で DRC エラーが 発 生 します 不 要 な パーティション ポートをコードから 削 除 し パーティションを 再 インプリメントしてください 階 層 デザイン 手 法 ガイド japan.xilinx.com 17

18 専 用 接 続 専 用 接 続 FPGA のエレメントには 特 定 の 機 能 や 高 速 の 低 スキュー 配 線 用 の 専 用 接 続 を 提 供 するため 特 定 の 方 法 で 連 動 するものがあります これらのエレメントが 別 々のパーティションに 含 まれていると 正 しくコンフィギュレーションされ ない 場 合 があります これらのエレメントは 同 じパーティションに 配 置 する 必 要 があります 次 に これらのエレメント をリストします OSERDES/IODELAY と OBUFTDS OSERDES/ODDR と OBUFTDS IDELAY と IDELAYCNTRL ISERDES/IDDR と IBUFDS これらのエレメントが 同 じパーティションに 含 まれていない 場 合 インプリメンテーションでエラーが 発 生 することが あります インポートの 制 限 すべての 配 線 情 報 を 保 持 する 必 要 はありません パーティションの 配 線 が 再 配 線 される 可 能 性 があるのは 次 のよ うな 場 合 です インポートされたパーティションの 保 持 レベルが 配 線 ではなく 配 置 または 合 成 に 設 定 されている 下 位 パーティションの I/O バッファがインポートされ 接 続 されているパッドがインプリメントされる 親 パーテ ィションに 含 まれている ただし この 配 線 は 専 用 であるため 厳 密 にいえば 保 持 されませんが 変 更 され ません 下 位 パーティションのフリップフロップがインポートされ インプリメントされる 親 パーティションに 含 まれてい る I/O バッファに 接 続 されている 配 線 は 専 用 であり フリップフロップが I/O ロジックにパックされれば 変 更 されません フリップフロップをパーティションの 境 界 を 越 えて 引 き 込 み I/O ロジックにパックするため IOB=FORCE または IOB=TRUE 制 約 を 設 定 する 必 要 があります フリップフロップがスライスにパックされ ている 場 合 配 線 は 保 持 されず タイミングが 満 たされるとは 限 りません IOB=FORCE を 使 用 すると フリ ップフロップが I/O ロジックに 正 しくパックされなかった 場 合 にエラーが 表 示 され IOB=TRUE を 使 用 する と 警 告 が 表 示 されます 下 位 パーティションの LUT がインポートされ インプリメントされる 親 パーティションに 含 まれている I/O バ ッファに 接 続 されている LUT はスライス ロジックにパックされる 必 要 があります 配 線 は 専 用 ではなく タ イミングが 満 たされるとは 限 りません デザインに PWR/GND ネットが 含 まれ 最 上 位 パーティションがインプリメントされる PWR/GND ネットは 常 にインプリメントされ 子 パーティションに 含 まれていても 最 上 位 パーティションと 共 にインポートされ ます 18 japan.xilinx.com 階 層 デザイン 手 法 ガイド

19 パーティションのフロアプラン パーティションのフロアプラン フロアプランとは 制 約 を 使 用 してデザインの 配 置 を 制 御 する 手 法 で このセクションでは AREA_GROUP 制 約 を 使 用 することを 指 します パーティション デザインで AREA_GROUP 制 約 を 使 用 するのに 制 限 はありませんが CLB 境 界 にスライス 範 囲 を 作 成 することをお 勧 めします これにより 配 置 および 配 線 のリソースを 最 大 限 に 利 用 できます スライス 範 囲 が CLB 境 界 上 にあるかどうかを 検 証 するには 制 約 の XY 座 標 を 確 認 します XY 座 標 が X0Y0 ~ X3Y9 のように 偶 数 で 始 まり 奇 数 で 終 わっていれば 範 囲 は CLB 境 界 上 にあります AREA_GROUP 制 約 は PlanAhead ソフ トウェアを 使 用 して 作 成 できます この 場 合 制 約 は CLB 境 界 上 に 自 動 的 に 設 定 されます CLB または FPGA の その 他 のブロックの 詳 細 は デバイスのデータシートを 参 照 してください フロアプランをパーティションで 使 用 すると パーティションに 関 連 するすべてのロジックをデバイスの 1 つのエリア に 保 持 できるという 利 点 があります フロアプランで 各 パーティションを 配 置 配 線 する 領 域 を 作 成 すると インポート の 際 に 配 線 の 競 合 が 発 生 する 可 能 性 を 最 小 限 に 抑 えることができます また 後 で 追 加 するロジック 用 に FPGA のその 他 の 部 分 を 予 約 するのにも 便 利 な 方 法 です パーティションは PlanAhead ツールでサポートされていますが コマンド ライン ツールを 使 用 してパーティション デ ザインを 実 行 することも 可 能 です この 場 合 PlanAhead を 使 用 してパーティションの 設 定 デザインのフロアプラン を 実 行 し ISE コマンド ライン フローを 使 用 して xpartition.pxml ファイルを 作 成 できます サポートされる フローは 第 4 章 コマンド ラインでのパーティション フロー を 参 照 してください デザイン 保 持 デザイン 保 持 パーティションでは AREA_GROUP 制 約 は 必 要 ありませんが デザインによってはフロアプランによ りランタイムとタイミング 結 果 が 向 上 します また AREA_GROUP 制 約 を 使 用 すると インポートの 際 に 配 置 または 配 線 の 競 合 が 発 生 する 可 能 性 を 最 小 限 に 抑 えることができます 階 層 デザイン 手 法 ガイド japan.xilinx.com 19

20 パーティションのフロアプラン 20 japan.xilinx.com 階 層 デザイン 手 法 ガイド

21 第 3 章 合 成 パーティションフロー この 章 には 次 のセクションが 含 まれています 合 成 パーティション フローの 概 要 Synplify Pro/Premier の 使 用 Precision の 使 用 XST の 使 用 合 成 パーティション フローの 概 要 階 層 デザイン フローでは 1 つのエリアの 変 更 により 別 のエリアの 合 成 結 果 が 変 更 されないようにするため 各 パ ーティションを 個 別 に 合 成 する 必 要 があります これには インクリメンタル 合 成 手 法 またはボトムアップ 合 成 手 法 を 使 用 します インクリメンタル 合 成 手 法 は ほとんどのサードパーティ 合 成 ツールでサポートされており RTL モジュ ールをパーティションとして 指 定 できます 合 成 を 実 行 すると 各 パーティションが 個 別 に 合 成 され 1 つのモジュ ールの HDL を 変 更 しても 別 のモジュールには 影 響 しません 再 合 成 するモジュールまたはインスタンスは HDL または 制 約 の 変 更 に 基 づいてツールにより 判 断 されます サポートされているインクリメンタル 合 成 フローは 次 のとおりです Synopsys 社 Synplify Pro/Premier (コンパイル ポイントを 使 用 ) Mentor Graphics 社 Precision (HDL の 属 性 を 使 用 してパーティションを 指 定 ) もう 1 つの 手 法 は ボトムアップ 合 成 手 法 です このフローでは 各 パーティションに 個 別 の 合 成 プロジェクトおよび ネットリストがあります HDL コードおよび 合 成 制 約 の 変 更 に 基 づいて 再 合 成 する 必 要 のある 合 成 プロジェクトを ユーザーが 判 断 できます 最 上 位 パーティションは 下 位 モジュールにブラック ボックスを 使 用 して 合 成 され 下 位 モジュールは I/O およびクロック バッファを 推 論 せずに 合 成 されます この 方 法 は Xilinx Synthesis Technology (XST) およびサードパーティの 合 成 ツールでサポートされます. ベンダー 特 定 のインクリメンタル 合 成 フローの 利 点 は 次 のとおりです 各 パーティションに 対 して 個 別 の 合 成 プロジェクト ファイルを 作 成 する 必 要 はありません フラット 合 成 フローからの 移 行 が 簡 単 です 合 成 ツールにより HDL コードおよびタイミング 制 約 の 変 更 に 基 づいて 再 合 成 が 必 要 なモジュールが 判 断 されます 階 層 デザイン 手 法 ガイド japan.xilinx.com 21

22 Synplify Pro/Premier の 使 用 ボトムアップ フローの 利 点 は 次 のとおりです 各 パーティションに 個 別 の 合 成 プロジェクトがあるので 合 成 中 複 数 の 設 計 者 が 同 じデザインを 作 業 で きます 再 合 成 するインスタンスを 完 全 に 制 御 できます 再 合 成 するプロジェクトをユーザーが 決 定 するので 再 合 成 されたインスタンスを 特 定 するのが 簡 単 です 各 ネットリストに 個 別 のタイム スタンプがあります Synplify Pro/Premier の 使 用 Synplify および Synplify Pro/Premier でパーティションを 使 用 するには 基 本 的 なボトムアップ 合 成 フローと コン パイル ポイントを 使 用 したブロック ベース (インクリメンタル) 合 成 フローの 2 つがあります Synplify ボトムアップ フロー ボトムアップ フローでは 各 インスタンスに 対 応 する Synplify プロジェクト ファイルがあります 下 位 プロジェクト フ ァイルに IOB またはグローバル クロック バッファを 推 論 しないようにしてください プロジェクト ファイルで I/O 挿 入 をオフにするには 次 の 構 文 を 使 用 します set_option disable_io_insertion 1 クロック バッファの 使 用 をオフにするには syn_noclockbuf 属 性 を 使 用 します 次 の 構 文 を Synplify 制 約 (SDC) ファイルに 追 加 します define_attribute { clock_port } syn_noclockbuf 0 define_global_attribute syn_noclockbuf 0 syn_noclockbuf 属 性 は Verilog および VHDL コードに 直 接 追 加 することも 可 能 です 追 加 の 例 は Synplify の マニュアルを 参 照 してください Synplify Pro/Premier のインクリメンタル 合 成 フロー インクリメンタル 合 成 フローは Synplify Pro および Synplify Premier で 使 用 できます このフローでは コンパイル ポイントを 使 用 してデザインを 小 型 の 合 成 ユニットに 分 割 します locked モードを 使 用 すると コンパイル ポイントを 越 えたロジックの 移 動 は 実 行 されません soft モードおよび hard モードでは 境 界 を 越 えた 最 適 化 が 許 容 されます が サポートされていません パーティションのネットリストが 変 更 された 場 合 パーティションの 再 インプリメントが 必 要 になります インポートされたパーティションがネットリストと 一 致 しない 場 合 NGDBuild でエラーが 発 生 します 次 に SDC ファイルのコンパイル ポイントの 例 を 示 します define_compile_point {v:controller} -type {locked} -cpfile {} SDC ファイルでコンパイル ポイントを 作 成 および 変 更 するには Synplify を 使 用 してください 合 成 レポート ファイ ルに 各 コンパイル ポイントのステータスが 示 されます 22 japan.xilinx.com 階 層 デザイン 手 法 ガイド

23 Precision の 使 用 Summary of Compile Points Name Status Reason controller Unchanged - elevator_car Remapped Design changed express_car Remapped Design changed top Remapped Design changed ================================================= 図 4 : Synplify 合 成 レポートのパーティションを 設 定 するコンパイル ポイントを 示 す 部 分 の 例 合 成 が 終 了 したら 次 の 3 つの 方 法 でザイリンクス インプリメンテーション ツールを 実 行 します ISE コマンド ライン フロー : 単 純 な Tcl コマンドを 実 行 することにより Synplify でザイリンクス インプリメン テーション ツールで 使 用 するアップデートされた PXML ファイルを 作 成 します 詳 細 は 第 4 章 コマンド ラインでのパーティション フロー を 参 照 してください PlanAhead フロー : 合 成 ネットリストがインポートされます オプションで Synplify で 生 成 された PXML ファイルもインポートされます パーティションを PlanAhead ソフトウェアで 手 動 で 再 定 義 することも 可 能 です 詳 細 は 第 5 章 PlanAhead でのパーティション フロー を 参 照 してください Synplify コックピット : Synplify コックピットからザイリンクス インプリメンテーション ツールを 実 行 します 詳 細 は 次 のサイトから Synplify Pro/Premier の 資 料 を 参 照 してください Precision の 使 用 Mentor Graphics 社 の Precision 合 成 ツールでも 階 層 デザイン フローがサポートされます 最 もよく 使 用 されるフ ローは パーティション ベースのインクリメンタル フローです このフローでは 属 性 を 使 用 してパーティションを 指 定 します パーティションは モジュールまたはインスタンスに 設 定 できます Verilog Module: module my_block( input clk;...) /* synthesis incr_partition */; Verilog Instance: my_block my_block_inst(.clk(clk),....data_out(data_out) ); // synthesis attribute my_block_inst incr_partition true VHDL Module: entity my_block is port( clk: in std_logic;...); attribute incr_partition : boolean; attribute incr_partition of my_block : entity is true; end entity my_block; VHDL Instance: component my_block is port(... end component;... attribute incr_partition : boolean; attribute incr_partition of my_block_inst : label is true;... my block inst 図 5 : Precision 合 成 レポートのパーティションを 設 定 する 属 性 を 示 す 部 分 の 例 階 層 デザイン 手 法 ガイド japan.xilinx.com 23

24 XST の 使 用 合 成 レポートには パーティションのステートに 基 づいてパーティションが 最 適 化 されたかどうかが 示 されます [16027]: Incremental: Skipping Optimize for <...>.fifo_16_64.rtl_unfold_0 [16027]: Incremental: Skipping Optimize for <...>.fir_filter.rtl_unfold_0 [15002]: Optimizing design <...>.fsm.rtl_unfold_0 [16027]: Incremental: Skipping Optimize for <...>.fir_top.rtl 図 6 : 合 成 レポートの 例 合 成 が 終 了 したら 次 の 3 つの 方 法 でザイリンクス インプリメンテーション ツールを 実 行 します ISE コマンド ライン フロー : Precision で [Place & Route] をクリックし ザイリンクス インプリメンテーション ツールで 使 用 するアップデートされた PXML ファイルを 作 成 します 詳 細 は 第 4 章 コマンド ラインでの パーティション フロー を 参 照 してください PlanAhead フロー : 合 成 ネットリストがインポートされます デザイン パーティションは PlanAhead 内 で 定 義 します 詳 細 は 第 5 章 PlanAhead でのパーティション フロー を 参 照 してください Precision : Precision の [Place & Route] を 使 用 してザイリンクス インプリメンテーション ツールを 実 行 しま す ISE ツールが 自 動 的 に 起 動 します このフローの 詳 細 は 次 の Mentor Graphics 社 の SupportNet サ イトからアプリケーション ノートを 参 照 してください XST の 使 用 XST では パーティションの 使 用 にボトムアップ 合 成 フローがサポートされています パーティションとして 使 用 される 各 インスタンスには 最 上 位 パーティションも 含 め 個 別 のプロジェクト ファイルが 必 要 です 最 上 位 パーティションに IOB およびグローバル クロック バッファが 含 まれている 場 合 は 下 位 パーティ ションには 含 めないでください I/O が 推 論 されないようにするには XST ファイルで 次 のオプションを 指 定 します -iobuf NO 下 位 パーティションに IOB を 使 用 することは 可 能 ですが その 場 合 は 最 上 位 パーティションに 余 分 な IOB が 推 論 されないようにする 必 要 があります 最 上 位 パーティション 全 体 で IOB の 挿 入 をオフにするには iobuf オプショ ンを 使 用 し 個 別 の 信 号 で IOB の 挿 入 をオフにするには 信 号 名 に BUFFER_TYPE=NONE 属 性 を 設 定 します BUFFER_TYPE=NONE 属 性 は 下 位 パーティションにグローバル バッファ (BUFG) がインスタンシエートされてい て 最 上 位 パーティションで BUFG が 推 論 されないようにするために 使 用 できます BUFFER_TYPE 属 性 の 設 定 方 法 XST をコマンド ライン モードで 実 行 する 方 法 の 詳 細 は XST ユーザー ガイ ド を 参 照 してください 24 japan.xilinx.com 階 層 デザイン 手 法 ガイド

25 第 4 章 コマンド ラインでのパーティション フロー ISE Design Suite のコマンド ライン フローでは 合 成 後 のデザインにパーティションを 使 用 します このデザイン フローはコマンド ラインで 実 行 し ザイリンクスの NGDBuild MAP および PAR インプリメンテーション ツールを 使 用 します インプリメンテーション ツールを 実 行 すると 現 在 の 作 業 ディレクトリで xpartition.pxml ファイルが 検 索 されま す 現 在 の 作 業 ディレクトリは NGDBuild MAP および PAR を 実 行 したディレクトリです インプリメンテーション ツールは このファイルを 使 用 して パーティションの 定 義 されている 部 分 およびパーティションのステートを 確 認 し ます この 章 には 次 のセクションが 含 まれています PXML ファイルの 作 成 インプリメンテーションの 実 行 パーティションのエクスポート パーティションのステートを import に 変 更 デザインでの 反 復 作 業 SmartXplorer の 使 用 パーティションの 削 除 PXML ファイルの 作 成 パーティションの 定 義 は xpartition.pxml ファイルに 含 まれます PXML ファイルでは 大 文 字 と 小 文 字 が 区 別 さ れ 必 ず xpartition.pxml という 名 前 をつける 必 要 があります 下 位 パーティションと 共 に デザインの 最 上 位 モジュールをパーティションとして 定 義 する 必 要 があります 子 パーティションまたはネストされたパーティションもサ ポートされています PXML ファイルは テキスト エディタを 使 用 して 手 動 で 作 成 するか PlanAhead ソフトウェアなどのグラフィカル ユーザー インターフェイス (GUI) を 使 用 して 作 成 できます PXML ファイルが 現 在 の 作 業 ディレクトリに 存 在 して いれば インプリメンテーション ツールで 自 動 的 に 検 出 されます 手 動 で PXML ファイルを 作 成 する 際 の 参 考 に xpartition.pxml ファイルのテンプレートが 提 供 されていま す テンプレート ファイルは 次 のディレクトリにあります <Xilinx_12_directory>/PlanAhead/testcases/xpartition.pxml 階 層 デザイン 手 法 ガイド japan.xilinx.com 25

26 PXML ファイルの 作 成 次 に PXML ファイルの 例 を 示 します <?xml version="1.0" encoding="utf-8"?> <Project FileVersion="1.2" Name="Example" ProjectVersion="2.0"> <Partition Name="/top" State="implement" ImportLocation="NONE"> <Partition Name="/top/module_A" State="import" ImportLocation="/home/user/Example/export" Preserve="routing"> </Partition> <Partition Name="/top/module_B" State="import" ImportLocation="../export" Preserve="routing"> </Partition> <Partition Name="/top/module_C" State="implement" ImportLocation="../export" Preserve="placement"> </Partition> </Partition> </Project> 図 7 : xpartition.pxml ファイルの 例 次 の 表 に 上 記 の PXML ファイルの 例 で 使 用 されている 属 性 とその 値 を 説 明 します 表 1 : Project を 定 義 するための PXML 属 性 属 性 名 値 説 明 FileVersion 1.2 ツールで 使 用 されます この 値 は 変 更 しないでください Name プロジェクト 名 プロジェクト 名 を 指 定 します ProjectVersion 2.0 ツールで 使 用 されます この 値 は 変 更 しないでください 26 japan.xilinx.com 階 層 デザイン 手 法 ガイド

27 インプリメンテーションの 実 行 表 2 : Partition を 定 義 するための PXML 属 性 属 性 名 値 説 明 Name パーティション 名 パーティションを 適 用 するモジュールの 階 層 インスタンス 名 を 指 定 します State implement パーティションは 再 インプリメントされます import パーティションはインポートされ Preserve で 設 定 されたレベル でインプリメンテーションが 保 持 されます ImportLocation パス インポート 先 を 指 定 します State が import に 設 定 されていな い 場 合 は 無 視 されます State が import に 設 定 されている 場 合 パスを 相 対 パスまたは 絶 対 パスで 指 定 できますが 指 定 し た 場 所 に export ディレクトリが 含 まれている 必 要 があります こ の 属 性 が 無 視 される 場 合 ディレクトリを 設 定 したりこの 属 性 を 削 除 する 代 わりに NONE キーワードを 設 定 できます Preserve routing コンポーネントの 配 置 配 線 が 100% 保 持 されます 最 上 位 パ ーティションのデフォルト 設 定 です placement synthesis inherit 配 置 が 保 持 されます 配 線 は 変 更 される 場 合 があります 配 置 および 配 線 が 変 更 される 場 合 があります 親 パーティションの 設 定 値 が 使 用 されます 最 上 位 パーティシ ョン 以 外 のすべてのパーティションのデフォルト 設 定 です BoundaryOpt all 定 数 に 接 続 されているパーティション ポートおよび 未 使 用 の パーティション ポートの 最 適 化 をイネーブルにします none 通 常 のパーティション 最 適 化 規 則 が 適 用 されます パーティシ ョンの 境 界 内 での 最 適 化 のみが 可 能 です これがデフォルト 値 です PXML ファイルを 手 動 で 作 成 した 場 合 やサードパーティの 合 成 ツールで 生 成 した 場 合 は インプリメンテーション の 実 行 セクションに 進 んでください PlanAhead を 使 用 して PXML ファイルを 作 成 する 方 法 は 第 5 章 PlanAhead でのパーティション フロー の PXML のエクスポート を 参 照 してください インプリメンテーションの 実 行 xpartition.pxml ファイルを 作 成 したら ザイリンクス インプリメンテーション ツールをコマンド ラインまたはバ ッチ モードで 実 行 できます 基 本 的 なスクリプトは 次 のようになります ngdbuild -uc design.ucf design.edn design.ngd map -w design.ngd -o design_map.ncd design.pcf par -w design_map.ncd design.ncd design.pcf メモ : NGDBuild コマンドで 指 定 するネットリストには フラット 合 成 の 出 力 は 指 定 できません 合 成 プロジェクトを 設 定 お よび 実 行 する 際 に パーティションに 設 定 する 必 要 があります 合 成 フローの 詳 細 は 第 3 章 合 成 パーティションフロ ー を 参 照 してください 階 層 デザイン 手 法 ガイド japan.xilinx.com 27

28 インプリメンテーションの 実 行 xpartition.pxml ファイルがツールで 認 識 され パーティションのステートが 正 しく 設 定 されていることを 確 認 するには インプリメンテーション ツールのレポートを 参 照 します たとえば NGDBuild レポート ファイル (BLD) を 見 ると レポートの 最 後 の 方 に 次 の 図 に 示 すようなパーティション インプリメンテーション ステータスを 示 すセクシ ョンがあります Partition Implementation Status Preserved Partitions: Implemented Partitions: Partition "/top": Attribute STATE set to IMPLEMENT. Partition "/top/control_module": Attribute STATE set to IMPLEMENT. Partition "/top/express_car": Attribute STATE set to IMPLEMENT. Partition "/top/main_car": Attribute STATE set to IMPLEMENT. Partition "/top/tracking_module": Attribute STATE set to IMPLEMENT. 図 8 : NGDBuild レポートのパーティション ステータスを 示 す 部 分 の 例 MAP レポートおよび PAR レポートにも 同 様 のパーティション セクションがあります パーティションでサポートされていないインプリメンテーション オプション パーティションでは 次 のインプリメンテーション オプションはサポートされていません -glob_opt (グローバル 最 適 化 ) -smartguide (SmartGuide テクノロジ) -power ( 消 費 電 力 の 最 適 化 ) メモ : インプリメンテーション ツールの 動 作 に 影 響 を 与 えるザイリンクス 環 境 変 数 (XIL_*) も 多 数 あり ISE のリリースによ ってその 影 響 は 異 なります ザイリンクスの 階 層 デザイン フローは 環 境 変 数 に 対 してテストされていないので ツールを 実 行 する 前 に 特 別 なザイリンクス 環 境 変 数 をすべて 削 除 してください 28 japan.xilinx.com 階 層 デザイン 手 法 ガイド

29 パーティションのエクスポート パーティションのエクスポート 満 足 するインプリメンテーション 結 果 が 得 られたら パーティションをエクスポートします パーティションをエクスポ ートするには implementation ディレクトリにあるすべてのファイルをエクスポート ディレクトリにコピーします implementation ディレクトリの 完 全 なコピーのサイズが 大 きすぎる 場 合 は スクリプトを 使 用 して 次 に 示 す 必 須 ファ イルと 重 要 なオプションのファイルのみをコピーすることも 可 能 です 必 須 ファイル 必 須 ファイルは *prev*.* ファイルと xpartition.pxml ファイルです *prev*.* ファイルには パーティ ションをインポートするのに 必 要 なインプリメンテーション データが 含 まれています PXML ファイルは パーティシ ョンをインポートするのが 適 切 であるかどうかを 確 認 するために 必 要 です たとえば PXML ファイルにインポート するパーティションが 含 まれていない 場 合 エラーが 生 成 されます オプションのファイル NGDBuild (.bld) MAP (.mrp および.map) PAR (.par) TRACE (.twr/.twx) で 生 成 されるポート ファ イルおよび UCF ファイルはオプションですが 重 要 なファイルです これらのファイルには デザインをインプリメン トしたときに 使 用 されたオプションが 記 録 されています エクスポート ディレクトリのファイルは 変 更 しないでください これらのファイルは ソース ファイルのようなものと 考 え ることができます デザインに 複 数 のパーティションがある 場 合 すべてがエクスポートされます 特 定 のパーティションがエクスポート されるというよりは デザイン 全 体 がエクスポートされると 考 える 方 がわかりやすいです ランタイムを 短 縮 するため エクスポートされるデータをすべて 1 つのディレクトリに 配 置 することをお 勧 めします これにより パーティションを インポートする 際 にメモリに 読 み 込 む 必 要 のあるデザイン ファイルの 数 を 制 限 できます パーティションのステートを import に 変 更 パーティションをエクスポートしたら xpartition.pxml ファイルでパーティションのステートをアップデートする 必 要 があります これには テキスト エディタを 使 用 できます エクスポートしたパーティションをインポートする 場 合 は パーティションのステートを import に 設 定 し ImportLocation 属 性 をエクスポートされたパーティションの 場 所 に 設 定 します 相 対 パス (../export など) または 絶 対 パス (/home/user/example/export など) の どちらでも 設 定 できます デザインでの 反 復 作 業 必 要 に 応 じてデザインを 変 更 し 再 合 成 します 合 成 でエクスポートされたパーティションが 変 更 された 場 合 は xpartition.pxml ファイルでそのパーティションのステートを import から implement に 手 動 で 変 更 する 必 要 が あります インポート 先 のパーティションに 完 全 に 一 致 しないパーティションをインポートしようとすると NGDBuild で 次 のようなエラー メッセージが 表 示 されます ERROR:NgdBuild: The logic for imported partition '/top/main_car' using previous implementation './export/top_prev_built.ngd' has been modified. 階 層 デザイン 手 法 ガイド japan.xilinx.com 29

30 SmartXplorer の 使 用 このエラー メッセージは ソースが 変 更 されたパーティションを 再 インプリメントおよびエクスポートしていない 場 合 に 表 示 されます パーティションを 再 インプリメントし エクスポートしてから デザインにインポートする 必 要 がありま す HDL にコメントを 追 加 した 場 合 にも ネットリストで 多 少 のロジックの 変 更 や 名 前 の 変 更 が 発 生 する 可 能 性 があ り パーティションを 再 インプリメントする 必 要 があることに 注 意 してください 保 持 レベルを routing 以 外 に 設 定 してパーティションをインポートし PAR により 配 置 および 配 線 が 変 更 された 場 合 次 の 実 行 でその 結 果 が 使 用 されるようパーティションをエクスポートする 必 要 があります SmartXplorer の 使 用 SmartXplorer は パーティションを 含 むデザインでもフラット デザイン フローと 同 様 に 使 用 できます タイミング 要 件 が 厳 しいパーティションが 終 了 した 時 点 で SmartXplorer を 実 行 してタイミングを 満 たすソリューションを 検 索 で きます その 後 タイミング クリティカルなモジュールの SmartXplorer での 結 果 をインポートし 変 更 される 可 能 性 のあるデザインのほかの 部 分 のインプリメンテーションには 通 常 のフローを 使 用 します SmartXplorer の 実 行 結 果 からパーティションをインポートする 場 合 は PXML の ImportLocation として SmartXplorer で 作 成 されたディレクトリを 指 定 する 必 要 があります このディレクトリへのパスは 相 対 パス (../run2 など) または 絶 対 パス (/home/user/example/run2 など) のどちらでも 設 定 できます SmartXplorer には 最 上 位 ネットリストまたは NGD ファイルを 入 力 します NGD を 指 定 する 場 合 は 次 の 点 に 注 意 する 必 要 があります NGD ファイルは MAP および PAR で 使 用 されるのと 同 じ xpartition.pxml ファイルを 使 用 して 作 成 する 必 要 があります NGDBuild を 実 行 したときに PXML ファイルが 存 在 しなかい 場 合 は MAP およ び PAR で PXML ファイルが 無 視 されます SmartXplorer では MAP および PAR が 実 行 され NGD ファイルは 1 レベル 上 に 作 成 されます つまり SmartXplorer の 結 果 をインポートするには 1 レベル 上 にある *prev_built.ngd ファイルを SmartXplorer で 作 成 された 適 切 な 実 行 ディレクトリにコピーする 必 要 があります SmartXplorer の 詳 細 は コマンド ライン ツール ユーザー ガイド (UG688) を 参 照 してください パーティションの 削 除 パーティションを 削 除 するには xpartition.pxml ファイルでそのパーティションの 参 照 を 削 除 するか 親 パー ティションのステートを implement に 設 定 します すべてのパーティションを 削 除 してフラット フローを 実 行 するに は implementation ディレクトリの xpartition.pxml ファイルを 削 除 するか 名 前 を 変 更 します パーティショ ンを 再 度 設 定 するには implementation ディレクトリに xpartition.pxml ファイルを 再 度 追 加 します 入 力 ネッ トリストが 変 更 されておらず エクスポート ディレクトリが 存 在 していれば パーティションをインポートできます 30 japan.xilinx.com 階 層 デザイン 手 法 ガイド

31 第 5 章 PlanAhead でのパーティション フロー この 章 では PlanAhead ソフトウェアでのパーティション フローの 概 要 を 説 明 します 現 在 のところ PlanAhead ではネットリスト プロジェクトでのみパーティションがサポートされており HDL ベースの PlanAhead プロジェクトを 作 成 してパーティション フローを 実 行 することはできません そのため 合 成 は PlanAhead の 環 境 外 で 実 行 する 必 要 があります パーティションは 個 別 のネットリストを 持 つ 階 層 (ボトムアップ フロー) またはインクリメンタル 合 成 フローで 作 成 さ れたネットリストに 設 定 する 必 要 があります 合 成 プロジェクトをパーティション 用 に 設 定 する 方 法 は 第 3 章 合 成 パーティションフロー を 参 照 してください メモ : PlanAhead でフラット ネットリスト プロジェクトを 作 成 し プロジェクトの 階 層 にパーティションを 追 加 することも 可 能 で すが 合 成 で 生 成 されるネットリストは 1 つだけなので デザインの 1 つの 部 分 を 変 更 すると ほかの 部 分 にも 影 響 しま す フラットなグローバル 最 適 化 合 成 フローはサポートされていません この 章 には 次 のセクションが 含 まれています 新 規 プロジェクトの 作 成 パーティションの 作 成 PXML のインポート PXML のエクスポート BoundaryOpt 属 性 の 設 定 パーティションのフロアプラン パーティション デザインのインプリメント パーティションのプロモート パーティション ステートの 管 理 保 持 レベルの 管 理 デザイン 実 行 の 管 理 新 規 プロジェクトの 作 成 PlanAhead ソフトウェアを 起 動 したら Getting Started ページで [Create New Project] リンクをクリックします 開 いた New Project ウィザードの 指 示 に 従 がって 新 規 PlanAhead プロジェクトを 作 成 します [Design Source] ページで [Specify synthesized (EDIF or NGC) netlist] をオンにし デザイン ソースとして 合 成 済 みネットリストを 指 定 します パーティションでは RTL ソースのプロジェクトはサポートされていません 階 層 デザイン 手 法 ガイド japan.xilinx.com 31

32 パーティションの 作 成 図 9 : デザイン ソースとして 合 成 済 みネットリストを 選 択 ウィザードでの 設 定 を 続 行 し 最 上 位 ネットリスト 下 位 ネットリストの 場 所 および UCF 制 約 ファイルを 指 定 しま す すべてを 指 定 したら [Finish] をクリックして PlanAhead で プロジェクトを 開 きます パーティションの 作 成 PlanAhead でパーティションを 作 成 するには 次 の 手 順 に 従 います 1. 左 側 にある Flow Navigator で [Netlist Design] をクリックしてネットリストを 読 み 込 みます 2. ネットリストが 開 いたら [Netlist] ビューをクリックします 3. パーティションを 設 定 するモジュール インスタンスを 選 択 して 右 クリックし [Set Partition] をクリックします ( 図 10) メモ : このコマンドは パーティションに 設 定 して 設 計 および 合 成 したインスタンスにのみ 実 行 可 能 です 32 japan.xilinx.com 階 層 デザイン 手 法 ガイド

33 PXML のインポート 図 10 : PlanAhead でのパーティション 設 定 PXML のインポート Synplify のコンパイル ポイント フローを 使 用 する 場 合 PXML ファイルは Synplify で 生 成 されます このファイル の 値 を PlanAhead にインポートし パーティションを 定 義 できます ただし プロジェクトで 既 にパーティションを 設 定 している 場 合 はインポートできず このオプションは 淡 色 表 示 されます Synplify で 生 成 された xpartition.pxml ファイルをインポートするには 次 の 手 順 に 従 います 1. PlanAhead で 新 規 ネットリスト プロジェクトを 作 成 します 2. Flow Navigator の [Netlist Design] をクリックしてネットリストをメモリに 読 み 込 みます 3. [Netlist] ビューをクリックしてデザイン 階 層 を 確 認 します 4. [Netlist] ビューを 右 クリックし [Import Partition Settings (pxml) file] をクリックします 階 層 デザイン 手 法 ガイド japan.xilinx.com 33

34 PXML のエクスポート 図 11 : パーティション 設 定 (PXML) ファイルのインポート PXML のエクスポート PlanAhead を 使 用 して xpartition.pxml ファイルを 作 成 するには 次 の 手 順 に 従 います 1. PlanAhead ソフトウェアで 新 規 ネットリスト プロジェクトを 作 成 し 最 上 位 ネットリストおよびパーティションを 定 義 する 下 位 ネットリストを 指 定 します 2. Flow Navigator の [Netlist Design] をクリックしてネットリストをメモリに 読 み 込 みます 3. [Netlist] ビューをクリックします 4. パーティションを 設 定 するモジュール インスタンスを 選 択 して 右 クリックし [Set Partition] をクリックします ( 図 12) 図 12 : PlanAhead でのパーティション 設 定 34 japan.xilinx.com 階 層 デザイン 手 法 ガイド

35 PXML のエクスポート 5. [Implement] ボタンの 右 側 の 矢 印 をクリックし [Implementation Settings] をクリックします ( 図 13) 図 13 : インプリメンテーション 設 定 6. [Implementation Settings] ダイアログ ボックスで [Launch Options] フィールドの 右 側 にあるボタンをクリッ クします ( 図 14) 図 14 : 起 動 オプションを 開 く 7. [Specify Launch Options] ダイアログ ボックスで [Generate scripts only] をオンにして [OK] をクリックし ます 8. [Run] をクリックします 階 層 デザイン 手 法 ガイド japan.xilinx.com 35

36 PXML のエクスポート PlanAhead からさまざまな 階 層 デザイン フローを 実 行 する 手 順 は 第 6 章 の PlanAhead フロー を 参 照 してく ださい PlanAhead の 使 用 法 の 詳 細 は PlanAhead ユーザー ガイド (UG632) を 参 照 してください xpartition.pxml ファイルは <project_name>.runs/impl_1 ディレクトリに 生 成 されます このファイル を ISE コマンド ライン フローを 実 行 するディレクトリにコピーします 36 japan.xilinx.com 階 層 デザイン 手 法 ガイド

37 BoundaryOpt 属 性 の 設 定 BoundaryOpt 属 性 の 設 定 第 2 章 で 説 明 したように BoundaryOpt 属 性 を 使 用 するとパーティションの 境 界 で 一 部 の 最 適 化 を 実 行 できます PlanAhead でパーティションにこの 属 性 を 追 加 するには 次 の 手 順 に 従 います 1. [Netlist] ビューでパーティションを 選 択 します 2. [Instance Properties] ビューで [Attributes] タブをクリックし 緑 色 のプラス (+) ボタンをクリックします 図 15 : 属 性 の 追 加 階 層 デザイン 手 法 ガイド japan.xilinx.com 37

38 パーティションのフロアプラン 3. [Add Pre-defined Attributes] ダイアログ ボックスで [HD_BOUNDARY_OPT] を 選 択 し [OK] をクリックし ます 4. [all] などの 値 を 選 択 し (デフォルトは [none]) [Apply] をクリックします 図 16 : HD_BOUNDARY_OPT 属 性 の 設 定 BoundaryOpt に 有 効 な 値 は 第 4 章 コマンド ラインでのパーティション フロー の 表 2 にリストされています パーティションのフロアプラン パーティションをフロアプランするかどうかを 判 断 する 方 法 については 第 1 章 の パーティションのフロアプラン を 参 照 してください ここに 示 す 単 純 な 例 では 4 つのパーティションをフロアプランします [Netlist] ビューでパーティションを 1 つずつ 選 択 すると 各 パーティションに 対 して Pblock を 作 成 できます PlanAhead の Pblock は AREA_GROUP 制 約 を 定 義 します Pblock を 作 成 するには 次 の 手 順 に 従 います 1. パーティション ネットリストを 右 クリックします 2. [Draw Pblock] をクリックします 3. [Device] ビューで 各 パーティションを 表 す 矩 形 を 描 きます すべてのパーティションに 対 して Pblock を 作 成 すると 図 17 のようになります 38 japan.xilinx.com 階 層 デザイン 手 法 ガイド

39 パーティションのフロアプラン PlanAhead でモジュールをフロアプランする 方 法 の 詳 細 は ザイリンクス Web サイトから PlanAhead チュートリア ル : デザイン 解 析 とフロアプラン (UG676) を 参 照 してください 図 17 : PlanAhead でのパーティション 設 定 階 層 デザイン 手 法 ガイド japan.xilinx.com 39

40 パーティション デザインのインプリメント パーティション デザインのインプリメント パーティションを 設 定 したデザインをインプリメントするには Flow Navigator で [Implement] ボタンをクリックし ます ツールの 使 用 に 慣 れていない 場 合 や 最 小 限 の 設 定 で 実 行 する 場 合 は 緑 色 の [Implement] ボタンをクリ ックします このボタンをクリックすると デフォルトの ISE 設 定 でインプリメンテーション ツール (NGDBuild MAP PAR および TRACE) が 実 行 されます インプリメンテーション オプションを 変 更 して インプリメンテーション ツールを 実 行 するには [Implenet] ボタンの 右 側 にある 矢 印 をクリックしてプルダウ ン メニューから [Implementation Settings] をクリックし 必 要 な 変 更 を 加 えます プルダウン メニューから [Create Multiple Runs] をクリックすると 異 なるインプリメンテーション オプション を 使 用 して 複 数 のインプリメンテーション 実 行 を 作 成 できます これは SmartXplorer の 実 行 に 似 てい ます インプリメンテーションの 結 果 は [Compilation Log] ビュー PlanAhead 右 上 のステータス バー または [Window] [Design Suns] をクリックして [Design Runs] ビューで 確 認 できます 図 18 : [Implement] ボタン インプリメンテーションが 完 了 したら [Implemented Design] をクリックすることにより 結 果 を 読 み 込 むことができま す パーティションが 適 切 に 定 義 されており インプリメンテーション ツールで 使 用 されているかどうかを 確 認 するに は インプリメンテーション ログ ファイルを 表 示 します 40 japan.xilinx.com 階 層 デザイン 手 法 ガイド

41 パーティションのプロモート パーティションのプロモート パーティションがインプリメントされたら 今 後 のインポート 実 行 用 にプロモートする 必 要 があります PlanAhead で パーティションをプロモートするのは コマンド ライン フローでパーティションをエクスポートするのと 同 じです アク ティブな 実 行 からパーティションをプロモートするには Flow Navigator で [Promote Partitions] ボタンをクリックしま す ( 図 19) メモ : 実 行 結 果 をプロモートしないと 実 行 をリセットしたときに 現 在 のパーティションが 失 われます 図 19 : パーティションのプロモート 結 果 をプロモートしたら 現 在 の 実 行 のリセットおよび 再 実 行 を 必 要 なだけ 繰 り 返 します プロモートされた 結 果 は パーティションが 変 更 されなければ 常 にインポートされます 新 しい 結 果 をプロモートすると 現 在 プロモートさ れているデータが 上 書 きされます 複 数 の 実 行 およびプロモートされたデータの 管 理 については 次 のザイリンク ス Web サイトから PlanAhead ソフトウェア チュートリアル : 予 測 可 能 な 結 果 に 対 する 保 存 デザインの 利 用 (UG747) を 参 照 してください 階 層 デザイン 手 法 ガイド japan.xilinx.com 41

42 パーティション ステートの 管 理 パーティション ステートの 管 理 プロモートしたパーティションのステートは 次 の 実 行 用 に 自 動 的 に import にアップデートされます プロモートし ていないパーティションでもインポートできますが これらのパーティションは PlanAhead では 自 動 的 に 管 理 されま せん これらのパーティションは PlanAhead の [Implementation Run Properties] ビューの [Partitions] タブで 手 動 で 管 理 します ( 図 20) ソース ネットリストがアップデートされたり 物 理 制 約 が 変 更 されたりしてパーティションのアップデートが 必 要 になっ た 場 合 は パーティションのステートを implement に 変 更 する 必 要 があります このステートの 変 更 は PlanAhead では 自 動 的 に 実 行 されません ステートを 正 しく 変 更 しないと 次 のような NGDBuild エラーが 発 生 します ERROR:NgdBuild: The logic for imported Partition '/top/express_car' using previous implementation '../../project_1.promote/ximpl_1/top_prev_built.ngd' has been modified.this situation can occur when the source for the Partition was modified but the Partition was not re-implemented and exported. You must re-implement and export the Partition before it can be imported into this design. 図 20 : [Implementation Run Properties] ビューでのパーティション ステートの 変 更 42 japan.xilinx.com 階 層 デザイン 手 法 ガイド

43 保 持 レベルの 管 理 保 持 レベルの 管 理 保 持 レベルは デフォルトでは PlanAhead に 表 示 されません 保 持 レベルをデフォルト 値 から 変 更 する 場 合 は [Implementation Run Properties] ビューの [Partitions] タブにフィールドを 追 加 します [Preservation] フィールドを 表 示 するには 次 の 手 順 に 従 います 1. [Design Runs] ビューをクリックします このビューが 表 示 されていない 場 合 は [Window] [Design Runs] をクリックします 2. インプリメンテーション 実 行 を 選 択 します デフォルトでは impl_1 が 選 択 されています 3. [Implementation Run Properties] ビューで [Partitions] タブをクリックします 4. 表 のヘッダを 右 クリックし [Preservation] をクリックしてこの 列 を 表 に 追 加 します ( 図 21) 5. 必 要 に 応 じて 値 を 変 更 します 図 21 : PlanAhead に 保 持 レベル 属 性 を 表 示 階 層 デザイン 手 法 ガイド japan.xilinx.com 43

44 デザイン 実 行 の 管 理 デザイン 実 行 の 管 理 デザインに 必 要 な 変 更 を 加 え パーティション ステートを 正 しく 設 定 したら デザインを 再 インプリメントできます PlanAhead でのデザイン 保 持 に 推 奨 されるのは 1 つのデザイン 実 行 (impl_1) を 保 持 し 必 要 に 応 じてプロモート および 再 インプリメントを 繰 り 返 すフローです この 章 の 例 では Flow Navigator で [Implement] ボタンをクリックしてデザインを 再 インプリメントできます これによ り 実 行 データがリセットされ インプリメンテーション ツールが 起 動 され [Implementation Run Properties] ビューの [Partitions] タブでの 定 義 に 応 じてパーティションがインポートされます インプリメンテーションが 完 了 して 結 果 をプ ロモートすると 以 前 にプロモートされていた 結 果 が 上 書 きされるので 1 つのプロモート ディレクトリのみにデザイ ン パーティションの 結 果 が 含 まれます プロモート ディレクトリが 1 つのみであれば 管 理 は 簡 単 であり 第 1 章 の インポート 先 ディレクトリ で 説 明 したような 複 数 の 場 所 からインポートすることによる 煩 雑 さを 回 避 できます 44 japan.xilinx.com 階 層 デザイン 手 法 ガイド

45 第 6 章 デザイン 保 持 フロー デザイン 保 持 の 目 的 は タイミング クロージャ 段 階 でのインプリメンテーションの 実 行 回 数 を 減 らすことです デザ インの 一 部 でタイミングが 満 たされたら そのインプリメンテーション 結 果 ( 配 置 および 配 線 ) を 次 の 実 行 で 使 用 しま す これにより 完 成 し タイミングを 満 たしている 部 分 は デザインのほかの 部 分 が 変 更 されても 影 響 を 受 けま せん デザイン 保 持 では パーティションを 使 用 してモジュール インスタンスの 以 前 のインプリメンテーション 結 果 を 保 持 します パーティションを 適 切 に 使 用 することにより デザインの 実 行 回 数 が 削 減 され 変 更 されていないインスタン スを 再 検 証 する 必 要 がないので タイミング クロージャ 段 階 の 時 間 を 短 縮 できます デザインの 一 部 を 保 持 した 場 合 どのモジュールがインプリメントされ どのモジュールが 保 持 されるかによって インプリメンテーションのランタ イムは 異 なります インプリメントされるモジュールのタイミング 要 件 が 厳 しい 場 合 ランタイムは 長 くなります インプ リメントされるモジュールのタイミングを 簡 単 に 満 たすことができ クリティカル パスが 保 持 されるモジュールに 含 ま れている 場 合 は ランタイムは 短 くなります この 章 では ISE Design Suite コマンド ライン ツールおよび PlanAhead ソフトウェアを 使 用 して RTL フローお よびネットリスト フローでデザイン 保 持 を 使 用 する 方 法 を 示 します この 章 には 次 のセクションが 含 まれています コマンド ライン フロー PlanAhead フロー コマンド ライン フロー コマンド ラインからデザイン 保 持 フローを 実 行 する 際 は どのモジュールがアップデートされているか どのパーテ ィションをインプリメントし どのパーティションをインポートするのか ユーザーが 管 理 する 必 要 があります これらを 編 集 するには テキスト エディタまたは XML エディタで xpartition.pxml ファイルを 変 更 します このフローを 実 行 するのに 使 用 する 必 要 のある 特 別 なインプリメンテーション オプションはありませんが PXML ファイルをインプリメ ンテーションを 実 行 するディレクトリに 配 置 する 必 要 があります PXML ファイルの 作 成 および 管 理 の 詳 細 は この ガイドの 第 4 章 コマンド ラインでのパーティション フロー を 参 照 してください デザイン 保 持 フローでは デザイン サイクルの 初 期 段 階 でデザインのパーティションを 定 義 する 必 要 があります デザインの 初 期 のコード 記 述 が 完 了 したら インクリメンタル 合 成 フローまたはボトムアップ 合 成 フローを 使 用 して 合 成 できます サポートされる 合 成 ツールおよびフローの 詳 細 は このガイドの 第 3 章 合 成 パーティションフロー を 参 照 してください デザインを 合 成 したら PXML ファイルですべてのパーティションのステートを implement に 設 定 してデザインをイ ンプリメントします パーティションでタイミングが 満 たされたら その 結 果 を 今 後 の 実 行 用 にエクスポートします バグの 修 正 や 機 能 の 向 上 のためにデザインを 変 更 したら 変 更 したパーティションをすべて 再 インプリメントする 必 要 があります ネットリスト レベルで 変 更 されていないパーティションは インポートして 配 置 配 線 情 報 を 保 持 し ます 階 層 デザイン 手 法 ガイド japan.xilinx.com 45

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

TH-80LF50J TH-70LF50J

TH-80LF50J TH-70LF50J TH-80LF50J TH-70LF50J TY-ST58P20 (70V) TY-ST65P20 (80V) TY-WK70PV50 TY-FB10HD TY-PG70LF50 (70V) TY-PG80LF50 (80V) - + - + SERIAL IN, SERIAL OUT AUDIO IN (COMPOSITE) AV IN DVI-D IN/OUT PC IN AUDIO

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

DS-860

DS-860 NPD4958-00 JA 2013 Seiko Epson Corporation. All rights reserved. EPSON EXCEED YOUR VISION Microsoft Windows Windows Server Windows Vista SharePoint Microsoft Corporation Intel Intel Core Intel Corporation

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 4 vii 5 6 7 8 9 viii This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

MusicSoft Manager

MusicSoft Manager MusicSoft Manager( ミュージックソフトマネージャー ) は 電子楽器で扱うファイル ( ソングやスタイルデータ ) を iphone/ipod touch/ipad 上で管理するアプリケーションです 本アプリケーションにより以下のことができます データのダウンロード購入 データをアプリと楽器 コンピューター オンラインストレージサービス Dropbox ( ドロップボックス ) 間で転送

More information

License

License 第三者のソフトウェアについて お客様がご購入のキヤノン製品 ( 以下 本製品 ) には 第三者のソフトウェア モジュール ( その更新されたものを含み以下 第三者ソフトウェア ) が含まれており かかる 第三者ソフトウェア には 以下 1~8 の条件が適用されます 1. お客様が 第三者ソフトウェア の含まれる 本製品 を 輸出または海外に持ち出す場合は 日本国及び関連する諸外国の規制に基づく関連法規を遵守してください

More information

Readme

Readme ---------------------------------------------------- PaperStream Capture Lite 1.0.1 README ---------------------------------------------------- Copyright PFU LIMITED 2016 このファイルには 本製品をお使いになる前にお読みいただきたい注意事項や

More information

POWER EGG V2.01 ユーザーズマニュアル ファイル管理編

POWER EGG V2.01 ユーザーズマニュアル ファイル管理編 POWER EGG V2.0 ユーザーズマニュアル ファイル 管 理 編 Copyright 2009 D-CIRCLE,INC. All Rights Reserved 2009.4 はじめに 本 書 では POWER EGG 利 用 者 向 けに 以 下 の POWER EGG のファイル 管 理 機 能 に 関 する 操 作 を 説 明 しま す なお 当 マニュアルでは ファイル 管 理 機

More information

Operating Instructions

Operating Instructions 1 2 function L L L L L L L L L L L L L L L L L L L L L L L L L L L 1 1 L L 1. 2. 3. L 1. 2. L 1 2 3 4 6 5 7 8 9 L L L L L L L L L L A B C D EFG H I J K L M NO P Q R A { } L B {} L C {} L D {} L E { }

More information

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747)

デザインの保持チュートリアル : PlanAhead デザイン ツール (UG747) デザインの保持チュートリアル PlanAhead ソフトウェア Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

BRA1209A_Ja_001_009.p65

BRA1209A_Ja_001_009.p65 BD-V300J = = ª B-CAS »« 1 2 3 3 2 4 3 «««« 3 3 3 3 3 3 3 3 3 3 2 2 3 3 3 1. 2. 1 2 34 5 6 7 890 - = ~! @ # 1 2 3 4 5 6 7 8 9 0 - = ~! @ # $ 12345689 $ 12! 2! 3 2 2 « 1. 1 2 2. 3. 3 4 4. « ««

More information

DS-510

DS-510 NPD4887-01 JA 2013 Seiko Epson Corporation. All rights reserved. EPSON EXCEED YOUR VISION Microsoft Windows Windows Vista SharePoint Microsoft Corporation Macintosh Mac OS OS X Apple Inc. Intel Intel Core

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

Microsoft Word - LaCie Manual_JA080719doc.doc

Microsoft Word - LaCie Manual_JA080719doc.doc Macintosh Intego Backup Assistant Intego Backup Manager Pro 2008 Intego. All Rights Reserved Intego http://lacie.intego.com Intego Backup Assistant Intego Backup Manager Pro for Macintosh Intego Backup

More information

2007 Microsoft Corporation. All rights reserved. 本 書 に 記 載 した 情 報 は 本 書 各 項 目 に 関 する 発 行 日 現 在 の Microsoft の 見 解 を 表 明 するものです Microsoft は 絶 えず 変 化 する

2007 Microsoft Corporation. All rights reserved. 本 書 に 記 載 した 情 報 は 本 書 各 項 目 に 関 する 発 行 日 現 在 の Microsoft の 見 解 を 表 明 するものです Microsoft は 絶 えず 変 化 する Microsoft Office Visio 2007 自 習 書 データリンク 2007 Microsoft Corporation. All rights reserved. 本 書 に 記 載 した 情 報 は 本 書 各 項 目 に 関 する 発 行 日 現 在 の Microsoft の 見 解 を 表 明 するものです Microsoft は 絶 えず 変 化 する 市 場 に 対 応

More information

基本操作ガイド

基本操作ガイド HT7-0022-000-V.4.0 Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 4 1 1 2 3 4 5 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 6 7 1 2 3 4

More information

やさしく名刺ファイリング v.3.0 操作マニュアル

やさしく名刺ファイリング v.3.0 操作マニュアル 操 作 マニュアル やさしく 名 刺 ファイリング 基 本 操 作 目 次 1. はじめに 2. やさしく 名 刺 ファイリングの 起 動 2-1.データベースの 作 成 2-2.スキャナの 設 定 3. 名 刺 の 読 み 込 み 3-1. 専 用 スキャナでの 読 み 込 み 3-2. 市 販 スキャナでの 読 み 込 み 4. 名 刺 の 認 識 修 正 登 録 4-1. 名 刺 の 認 識

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

スライド 1

スライド 1 2014.10 版 Ver.5.04 遠 藤 照 明 設 計 支 援 ツール:Jobサポート 2 次 元 照 度 計 算 ソフト Luxsheet マニュアル ルクスシート 1 P 3 型 番 入 力 による 検 索 P 4 P 5 P 7 P 9 P10 P11 P12 P13 P14 P16 P17 P18 P19 P21 2 平 均 照 度 計 算 を 一 覧 表 で 簡 単 に 作 成 できます

More information

Microsoft Word - MC_v4.1.1_Release_Notes_Japanese.doc

Microsoft Word - MC_v4.1.1_Release_Notes_Japanese.doc MANUSCRIPT CENTRAL v4.1.1 リリース ノート 2008 年 8 月 26 日 にリリースされる Manuscript Central v4.1.1 で 予 定 されている 機 能 の 更 新 内 容 は 以 下 のとおりです この 文 書 では 各 機 能 のデフォルト 設 定 値 と 機 能 の 設 定 に 必 要 な 時 間 を 説 明 しています 質 問 がある 場 合

More information

Microsoft Word - TechSmith Deployment Tool Documentation.docx

Microsoft Word - TechSmith Deployment Tool Documentation.docx TechSmith Deployment Tool マニュアル TechSmith Deployment Tool は Snagit や Camtasia Studio の 組 織 全 体 への 展 開 を 担 当 する Windows IT 管 理 者 のために 設 計 されたツールです このツールのイン ターフェイスを 使 用 することで 効 率 的 かつエラーのない 方 法 で MST ( 変

More information

42 25 36 26 62 28 84 76 77 73 40 80 81 8 21 22 25 26 26 27 28 28 30 31 32 33 73 73 74 76 77 80 81 83 84 90 94 94 96 98 110 112 35 36 38 39 40 42 44 54 57 61 62 64 69 72 115 116 116 117 118 126 127 130

More information

目 次 JAVIS Appli の 基 本 機 能... 3 JAVIS Appli について... 3 音 声 確 認 機 能 JAVIS Appli( 有 償 版 )の 機 能... 4 音 声 で 読 みの 確 認 をする... 4 辞 書 機 能... 5 単 語 を 登 録 する... 5

目 次 JAVIS Appli の 基 本 機 能... 3 JAVIS Appli について... 3 音 声 確 認 機 能 JAVIS Appli( 有 償 版 )の 機 能... 4 音 声 で 読 みの 確 認 をする... 4 辞 書 機 能... 5 単 語 を 登 録 する... 5 アドイン 版 ********************************************* 操 作 説 明 書 ********************************************* 目 次 JAVIS Appli の 基 本 機 能... 3 JAVIS Appli について... 3 音 声 確 認 機 能 JAVIS Appli( 有 償 版 )の 機 能...

More information

Microsoft Word - 操作マニュアル(石油コンビナート_オフラインソフト編)_v0.2.doc

Microsoft Word - 操作マニュアル(石油コンビナート_オフラインソフト編)_v0.2.doc 総 務 省 消 防 庁 統 計 調 査 系 システム 操 作 マニュアル 石 油 コンビナート 等 実 態 調 査 業 務 (オフライン オフラインソフト 編 ) 第 0.2 版 平 成 25 年 3 月 総 務 省 消 防 庁 改 訂 履 歴 版 改 訂 日 改 訂 内 容 第 0.1 版 平 成 24 年 1 月 24 日 新 規 作 成 第 0.2 版 平 成 24 年 3 月 2 日 第 4

More information

富士山チェックリスト

富士山チェックリスト 富 士 山 決 算 マニュアル 富 士 山 賃 貸 管 理 財 務 会 計 を 使 用 して 決 算 を 行 う 場 合 の 一 般 的 な 手 順 をご 説 明 します 個 人 不 動 産 の 決 算 を 行 う 場 合 P1~P8 個 人 一 般 の 決 算 を 行 う 場 合 P4~P8 P11 青 色 申 告 特 別 控 除 額 の 入 力 個 人 農 業 の 決 算 を 行 う 場 合 P4~P11

More information

WebMail ユーザーズガイド

WebMail ユーザーズガイド ニフティクラウド ビジネスメール メール 共 有 サービス ユーザーズガイド 第 1.1 版 平 成 26 年 5 月 19 日 ニフティ 株 式 会 社 目 次 はじめに... 3 1. 共 有 メールボックスとは... 4 2. 共 有 メールボックスを 表 示 する... 5 3. 閲 覧 履 歴 操 作 履 歴 を 表 示 する... 8 4. 共 有 メールボックスからメールを 送 信 する...

More information

■コンテンツ

■コンテンツ Joruri CMS 2.0.0 基 本 マニュアル (2013.7.23) 2012.2.14 データ データでは 複 数 の 場 所 で 共 通 して 利 用 できる 情 報 (テキスト 形 式 ファイル 形 式 )を 作 成 登 録 管 理 できます テキスト データ>テキスト 作 成 されたテキストが 一 覧 表 示 されます 複 数 の 場 所 で 利 用 するテキスト( 住 所 TEL

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 1 履 修 履 歴 データベースの 仕 組 み 学 生 が 履 修 履 歴 を 登 録 して 企 業 へデータを 送 信 すると 企 業 担 当 者 が 履 修 履 歴 データを 見 られるようになります 不 特 定 の 企 業 に 履 修 履 歴 データが 閲 覧 されるわけではありません < 基 本 的 な 流 れ> A 社 データ ベース 応 募 企 業 へ データを 送 信 学 生 A 専

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 自 由 HTMLでコンテンツを 追 加 する Copyright Diverta inc. All right reserved. 目 次. 自 由 HTMLとは - 自 由 HTMLとは - 機 能 概 要. 自 由 HTMLでコンテンツを 追 加 する - 自 由 HTMLを 開 く - カテゴリを 追 加 する - 自 由 HTMLでコンテンツを 作 成 する -4 閲 覧 編 集 制 限 を

More information

IM 21B04C50-01

IM 21B04C50-01 User s Manual Blank Page Media No. (CD) 5th Edition : Sep. 2009 (YK) All Rights Reserved. Copyright 2001, Yokogawa Electric Corporation Yokogawa Electric Corporation Software License Agreement This

More information

Meet-Me Number/Pattern の 設定

Meet-Me Number/Pattern  の 設定 45 CHAPTER Meet-Me Number/Pattern の 設 定 Meet-Me 会 議 では 電 話 番 号 の 割 り 当 てが 必 要 です Cisco CallManager Administration は ユーザがこの 機 能 を 利 用 できるように 一 連 の Meet-Me 会 議 電 話 番 号 をユーザに 通 知 しておく 必 要 があります ここでは 次 の 内

More information

給料らくだ7.5・かるがるできる給料5.5 追加マニュアル

給料らくだ7.5・かるがるできる給料5.5 追加マニュアル 追 加 マニュアル 給 料 らくだ 7.5 (Rev.5.10) かるがるできる 給 料 5.5 (Rev.5.10) MNL151201N-K マイナンバーモードについて 本 製 品 は マイナンバー 事 務 のうち 保 管 利 用 廃 棄 安 全 管 理 措 置 について 支 援 する 機 能 を 搭 載 しています マイナンバー 事 務 に 関 する 支 援 機 能 を 利 用 できる 状 態

More information

<4D6963726F736F667420576F7264202D20819C486F70658F6F93588ED297708AC7979D89E696CA837D836A83858341838B8169342E33566572816A2E646F63>

<4D6963726F736F667420576F7264202D20819C486F70658F6F93588ED297708AC7979D89E696CA837D836A83858341838B8169342E33566572816A2E646F63> 商 品 管 理 商 品 管 理 を 行 うためのメニューです 4.1 商 品 管 理 のサイドメニュー 商 品 管 理 には 以 下 のサイドメニューがあります 商 品 一 覧 登 録 済 みの 商 品 の 一 覧 を 表 示 します 既 に 登 録 済 みの 商 品 の 検 索 検 索 した 商 品 を 編 集 する 際 に 使 用 します 新 規 作 成 商 品 を 新 規 登 録 する 画 面

More information

計算式の取り扱い

計算式の取り扱い 4.データ 入 力 と 表 計 算 4-1 計 算 式 の 取 り 扱 い 1) 数 式 の 基 本 Excelのような 表 計 算 ソフトでは セルに 入 力 されたデータ( 定 数 )を 計 算 式 ( 数 式 )によって 計 算 することで さまざまな 処 理 が 行 えます 数 式 バーには 数 式 の 内 容 が 表 示 されます セルには 計 算 結 果 が 表 示 されます 数 式 の

More information

目 次 1. 積 算 内 訳 書 に 関 する 留 意 事 項 1 ページ 2. 積 算 内 訳 書 のダウンロード 3 ページ 3. 積 算 内 訳 書 の 作 成 (Excel 2003の 場 合 ) 6 ページ 4. 積 算 内 訳 書 の 作 成 (Excel 2007の 場 合 ) 13

目 次 1. 積 算 内 訳 書 に 関 する 留 意 事 項 1 ページ 2. 積 算 内 訳 書 のダウンロード 3 ページ 3. 積 算 内 訳 書 の 作 成 (Excel 2003の 場 合 ) 6 ページ 4. 積 算 内 訳 書 の 作 成 (Excel 2007の 場 合 ) 13 積 算 内 訳 書 の 作 成 マニュアル 平 成 26 年 1 形 県 県 整 備 部 建 設 企 画 課 目 次 1. 積 算 内 訳 書 に 関 する 留 意 事 項 1 ページ 2. 積 算 内 訳 書 のダウンロード 3 ページ 3. 積 算 内 訳 書 の 作 成 (Excel 2003の 場 合 ) 6 ページ 4. 積 算 内 訳 書 の 作 成 (Excel 2007の 場 合 )

More information

LWN-A54APS 設定ガイド

LWN-A54APS 設定ガイド LWN-A54APS_SETTING V02 LAN LWN-A54APS WEB Logitec LAN LAN LAN LWN-A54APS 1.... 2 2.... 5... 7... 7... 7... 10... 11... 11... 14... 15... 18... 18 USB... 21... 23... 24... 24... 25... 26 AP... 26... 27

More information

2

2 JA カシオメンバーズは カシオ製品にご興味をお持ちの方向けに提供するカシオの会員サイトです ご入会されますと以下のサービスをご利用いただけます 1. 様々なカシオ製品のユーザー登録ができます 2. キャンペーンの応募などに便利です 3. 情報満載のメールマガジンをご登録いただけます 2 . 3 4 5 1 1 9bkbl bm bn 587 6 5 4 2 3 bo bp bq p 0 6 . *

More information

GRIDY SFA カスタム 項 目 操 作 ガイド 用 本 書 はに 必 要 な 操 作 を 解 説 しております は GRIDY SFA ののことです GRIDY SFA へ 申 し 込 み 最 初 に 登 録 を 行 った 方 がそのままとなります カスタム 項 目 はの 方 のみ 操 作 可

GRIDY SFA カスタム 項 目 操 作 ガイド 用 本 書 はに 必 要 な 操 作 を 解 説 しております は GRIDY SFA ののことです GRIDY SFA へ 申 し 込 み 最 初 に 登 録 を 行 った 方 がそのままとなります カスタム 項 目 はの 方 のみ 操 作 可 GRIDY SFA カスタム 項 目 操 作 ガイド 2016 年 1 月 20 日 ナレッジスイート 株 式 会 社 1 GRIDY SFA カスタム 項 目 操 作 ガイド 用 本 書 はに 必 要 な 操 作 を 解 説 しております は GRIDY SFA ののことです GRIDY SFA へ 申 し 込 み 最 初 に 登 録 を 行 った 方 がそのままとなります カスタム 項 目 はの

More information

1. 電 子 メール カレンダー 連 絡 先 の 統 合 Outlook は 受 信 箱 の 操 作 方 法 が 一 貫 して いる 点 が 何 も 考 えなくても 自 然 に 操 作 できる と お 客 様 に 好 評 です Gmail では 操 作 性 に 影 響 する 機 能 更 新 が 頻

1. 電 子 メール カレンダー 連 絡 先 の 統 合 Outlook は 受 信 箱 の 操 作 方 法 が 一 貫 して いる 点 が 何 も 考 えなくても 自 然 に 操 作 できる と お 客 様 に 好 評 です Gmail では 操 作 性 に 影 響 する 機 能 更 新 が 頻 1. 電 子 メール カレンダー 連 絡 先 の 統 合 Outlook は 受 信 箱 の 操 作 方 法 が 一 貫 して いる 点 が 何 も 考 えなくても 自 然 に 操 作 できる と お 客 様 に 好 評 です Gmail では 操 作 性 に 影 響 する 機 能 更 新 が 頻 繁 に 行 われているため 新 しい 操 作 方 法 を 頻 繁 に 習 得 する 必 要 があります

More information

確 定 申 告 書 作 成 システム 操 作 手 順 書 ~ 個 人 利 用 者 向 け 操 作 説 明 書 ~ 平 成 27 年 1 月 JA 長 野 県 営 農 センター ( 株 ) 長 野 県 協 同 電 算 目 次 1.システムの 起 動... 1 ... 1 ... 2 2.ログインとログアウト... 2 ... 3 ...

More information

Appendix

Appendix Appendix Appendix-A PHP 392 Appendix-B -> cd ext/pgsql -> phpize ->./configure --with-pgsql -> make -> make EXTENSION_DIR=/usr/local/lib/php/extensions install extension_dir = "/usr/local/lib/php/extensions/"

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

ソフトウェア説明書 Interstage Shunsaku Data Manager Enterprise Edition V9.0.0 評価版

ソフトウェア説明書 Interstage Shunsaku Data Manager Enterprise Edition V9.0.0 評価版 ソフトウェア説明書 Interstage Shunsaku Data Manager Enterprise Edition V9.0.0 評価版 はじめに 本ソフトウェア説明書は Interstage Shunsaku Data Manager Enterprise Edition の取り扱いおよび ご使用に際して注意すべき事項や参考となる情報を記したものです 本ソフトウェアはインストール後 90

More information

<4D F736F F D2090C389AA8CA72D92F18F6F2D D F ED28CFC82AF91808DEC837D836A B E838B A815B816A2E646F6378>

<4D F736F F D2090C389AA8CA72D92F18F6F2D D F ED28CFC82AF91808DEC837D836A B E838B A815B816A2E646F6378> 1. 基 本 事 項 1.1. システムで 行 えること デジタルライブラリー では データベース 上 に 登 録 されている 様 々なカテゴリのデータを 検 索 閲 覧 できます データを 検 索 する キーワード 検 索 全 データをフリーワードで 検 索 できます 簡 易 検 索 データの 共 通 項 目 に 条 件 を 指 定 し 全 データを 横 断 して 検 索 できます 詳 細 検 索

More information

入 札 参 加 資 格 申 請 システム 操 作 マニュアル 入 札 参 加 資 格 の 資 格 有 効 ( 変 更 ) 日 を 迎 えると 追 加 届 の 登 録 ができるようになります ( 入 札 参 加 資 格 申 請 の 定 時 受 付 では いずれかの 申 請 先 団 体 から 入 札 参

入 札 参 加 資 格 申 請 システム 操 作 マニュアル 入 札 参 加 資 格 の 資 格 有 効 ( 変 更 ) 日 を 迎 えると 追 加 届 の 登 録 ができるようになります ( 入 札 参 加 資 格 申 請 の 定 時 受 付 では いずれかの 申 請 先 団 体 から 入 札 参 あいち 電 子 調 達 共 同 システム( 物 品 等 ) 入 札 参 加 資 格 申 請 システム 操 作 マニュアル - 業 者 - 目 次... 8-1 8-1 本 店 ID( 業 者 用 ID)の 確 認 ~ 初 期 パスワード 変 更... 8-3 8-1-1 入 札 参 加 資 格 申 請 システム メニュー... 8-3 8-1-2 契 約 営 業 所 等 ID 確 認 (パスワード

More information

<4D6963726F736F667420576F7264202D208CA990CF96BE8DD78F918EAE82CC95CF8D583230313131313130>

<4D6963726F736F667420576F7264202D208CA990CF96BE8DD78F918EAE82CC95CF8D583230313131313130> 平 成 23 年 11 月 10 日 協 力 会 社 の 皆 様 へ 西 松 建 設 株 式 会 社 見 積 明 細 書 書 式 の 変 更 について 平 成 23 年 6 月 より 新 業 務 システム(RN21 システム)を 導 入 しておりますが 運 用 を 円 滑 なものとするため 提 出 いただく 見 積 明 細 書 の 書 式 を 変 更 いたしました 以 下 に 取 り 扱 い 要 領

More information

目次 1. 珠肌 Photoshop プラグインについて はじめに 必要システム構成 インストールとアクティベーション 珠肌 for Photoshop をインストールする アクティベーションする...

目次 1. 珠肌 Photoshop プラグインについて はじめに 必要システム構成 インストールとアクティベーション 珠肌 for Photoshop をインストールする アクティベーションする... 写真用美肌フィルタープラグイン ユーザーマニュアル Ver.1.0 目次 1. 珠肌 Photoshop プラグインについて... 1 1.1. はじめに... 1 1.2. 必要システム構成... 1 2. インストールとアクティベーション... 2 2.1. 珠肌 for Photoshop をインストールする... 2 2.2. アクティベーションする... 4 2.3. インストールの確認...

More information

2 課 題 管 理 ( 科 学 研 究 費 補 助 金 ) 画 面 が 表 示 されます 補 助 事 業 期 間 終 了 後 欄 の[ 入 力 ] をクリックします [ 入 力 ]ボタンが 表 示 されていない 場 合 には 所 属 する 研 究 機 関 の 事 務 局 等 へお 問 い 合 わせく

2 課 題 管 理 ( 科 学 研 究 費 補 助 金 ) 画 面 が 表 示 されます 補 助 事 業 期 間 終 了 後 欄 の[ 入 力 ] をクリックします [ 入 力 ]ボタンが 表 示 されていない 場 合 には 所 属 する 研 究 機 関 の 事 務 局 等 へお 問 い 合 わせく 2.6. 研 究 成 果 報 告 書 の 作 成 2.6.1 研 究 成 果 報 告 内 容 ファイルを 作 成 1 科 学 研 究 費 助 成 事 業 の Web ページ 等 から 研 究 成 果 報 告 内 容 ファイル 様 式 をダウンロードし ます 2 ダウンロードした 研 究 成 果 報 告 内 容 ファイル 様 式 に 報 告 内 容 を 記 入 し 保 存 します 所 定 の 様 式

More information

文科省様式3-2集計オプションマニュアル

文科省様式3-2集計オプションマニュアル 文 科 省 様 式 3-2 集 計 オプション 利 用 マニュアル 平 成 25 年 3 月 8 日 日 本 加 除 出 版 株 式 会 社 1 文 科 省 様 式 3-2 集 計 オプション とは 文 科 省 様 式 3-2 集 計 オプションは 日 本 加 除 出 版 株 式 会 社 発 行 の 小 学 校 理 科 教 育 等 設 備 台 帳 ( 平 成 23 年 度 ~ 平 成 34 年 度

More information

Untitled

Untitled VPN 接 続 の 設 定 AnyConnect 設 定 の 概 要, 1 ページ AnyConnect 接 続 エントリについて, 2 ページ ハイパーリンクによる 接 続 エントリの 追 加, 2 ページ 手 動 での 接 続 エントリの 追 加, 3 ページ ユーザ 証 明 書 について, 4 ページ ハイパーリンクによる 証 明 書 のインポート, 5 ページ 手 動 での 証 明 書 のインポート,

More information

TIPS - 棚 割 りを 開 始 するまで Liteを 起 動 し 企 業 情 報 の 追 加 を 行 い 棚 割 を 行 う 企 業 の 追 加 をして 下 さい 企 業 情 報 の 追 加 時 に エラーメッセージが 表 示 された 場 合 別 途 TIPS トラブルが 発 生 した 場 合

TIPS - 棚 割 りを 開 始 するまで Liteを 起 動 し 企 業 情 報 の 追 加 を 行 い 棚 割 を 行 う 企 業 の 追 加 をして 下 さい 企 業 情 報 の 追 加 時 に エラーメッセージが 表 示 された 場 合 別 途 TIPS トラブルが 発 生 した 場 合 TIPS 目 次 TIPS 項 目 棚 割 りを 開 始 するまで 商 品 画 像 の 追 加 方 法 商 品 情 報 の 一 括 更 新 登 録 方 法 棚 割 情 報 の 連 携 方 法 小 売 様 棚 割 ソフトとの 棚 割 情 報 連 携 について 他 棚 割 ソフトとの 棚 割 情 報 連 携 について 棚 割 情 報 のExcel 取 込 について 棚 板 設 定 の 詳 細 商 品 設

More information

文書管理

文書管理 目 次. の 利 用 シーン (P P). の 画 面 構 成 / 文 書 を 閲 覧 する (P). フォルダを 作 成 する (P4) 4. フォルダにアクセス 権 を 設 定 する (P5) 5. 文 書 を 登 録 する (P6) 6. フォルダを 変 更 削 除 する (P7) 7. フォルダ 文 書 を 移 動 する (P8) 8. 文 書 を 変 更 削 除 ( 更 新 )する (P9)

More information

1. アクセスする 2. 簡 易 検 索 画 面 (fig. 1) アクセスすると 最 初 に 出 る 画 面 です 検 索 語 入 力 ボックスにキーワードを 入 力 して 論 文 検 索 ボタンをクリックし ます 3. ボックス 下 部 のチェック 項

1. アクセスする  2. 簡 易 検 索 画 面 (fig. 1) アクセスすると 最 初 に 出 る 画 面 です 検 索 語 入 力 ボックスにキーワードを 入 力 して 論 文 検 索 ボタンをクリックし ます 3. ボックス 下 部 のチェック 項 CiNii Articles を 使 う 2013 芳 野 明 / 京 都 嵯 峨 芸 術 大 学 西 洋 美 術 史 博 物 館 学 研 究 室 1. アクセスする http://ci.nii.ac.jp/ 2. 簡 易 検 索 画 面 (fig. 1) アクセスすると 最 初 に 出 る 画 面 です 検 索 語 入 力 ボックスにキーワードを 入 力 して 論 文 検 索 ボタンをクリックし

More information

年齢別人数計算ツールマニュアル

年齢別人数計算ツールマニュアル 年 齢 別 人 数 計 算 ツールの 使 用 手 引 本 ツールは 学 校 基 本 調 査 の 調 査 項 目 である 年 齢 別 入 学 者 数 を 学 生 名 簿 等 の 既 存 データを 利 用 して 集 計 するものです < 対 象 となる 調 査 票 > 1 学 校 調 査 票 ( 大 学 ) 学 部 学 生 内 訳 票 ( 様 式 第 8 号 ) 2 学 校 調 査 票 ( 短 期 大

More information

目 次 1. Web メールのご 利 用 について... 2 2. Web メール 画 面 のフロー 図... 3 3. Web メールへのアクセス... 4 4. ログイン 画 面... 5 5. ログイン 後 (メール 一 覧 画 面 )... 6 6. 画 面 共 通 項 目... 7 7.

目 次 1. Web メールのご 利 用 について... 2 2. Web メール 画 面 のフロー 図... 3 3. Web メールへのアクセス... 4 4. ログイン 画 面... 5 5. ログイン 後 (メール 一 覧 画 面 )... 6 6. 画 面 共 通 項 目... 7 7. Web メール 操 作 説 明 書 京 都 与 謝 野 町 有 線 テレビ 0 目 次 1. Web メールのご 利 用 について... 2 2. Web メール 画 面 のフロー 図... 3 3. Web メールへのアクセス... 4 4. ログイン 画 面... 5 5. ログイン 後 (メール 一 覧 画 面 )... 6 6. 画 面 共 通 項 目... 7 7. メール 一 覧 画 面...

More information

Acrobat早分かりガイド

Acrobat早分かりガイド Adobe PDF を 加 工 編 集 する PDF を 再 利 用 する PDF ファイルの Word 文 書 書 き 出 し [ 名 前 を 付 けて 保 存 ] ダイアログが 開 くので ファイルの 書 き 出 し 先 を 指 定 し [ 保 存 ] ボタンをクリックします Acrobat を 使 用 すると Adobe PDF から Word の フォーマットに 書 き 出 してファイルを

More information

珠肌 for Video ユーザーマニュアル

珠肌 for Video ユーザーマニュアル 動画用美肌フィルタープラグイン ユーザーマニュアル Ver.1.0 目次 1. 珠肌 for Video について... 1 1.1. はじめに... 1 1.2. 必要システム構成... 1 2. インストールとアクティベーション... 2 2.1. 珠肌 for Video をインストールする... 2 2.2. アクティベーションする... 4 2.3. インストールの確認... 5 2.4.

More information

1. 概 要 Webで 申 込 みした 手 続 きの 内 容 とNEXIでの 手 続 状 況 を Web 申 込 状 況 一 覧 で 確 認 することができます また 各 種 手 続 きにおいて 申 込 みを 完 了 せずに 保 存 状 態 にした 手 続 きを この 一 覧 から 再 開 すること

1. 概 要 Webで 申 込 みした 手 続 きの 内 容 とNEXIでの 手 続 状 況 を Web 申 込 状 況 一 覧 で 確 認 することができます また 各 種 手 続 きにおいて 申 込 みを 完 了 せずに 保 存 状 態 にした 手 続 きを この 一 覧 から 再 開 すること 日 本 貿 易 保 険 Webサービス 操 作 マニュアル 4. Web 申 込 状 況 一 覧 照 会 (C) Copyright Nippon Export and Investment Insurance. All rights reserved. 1. 概 要 Webで 申 込 みした 手 続 きの 内 容 とNEXIでの 手 続 状 況 を Web 申 込 状 況 一 覧 で 確 認 することができます

More information

CSV_Backup_Guide

CSV_Backup_Guide ActiveImage Protector による クラスター 共 有 ボリュームのバックアップ 運 用 ガイド 第 5 版 - 2015 年 4 月 20 日 Copyright NetJapan, Inc. All Rights Reserved. 無 断 複 写 転 載 を 禁 止 します 本 ソフトウェアと 付 属 ドキュメントは 株 式 会 社 ネットジャパンに 所 有 権 および 著 作

More information

目 次 1 個 人 基 本 情 報 個 人 基 本 情 報 入 力 画 面 の 分 散 4 申 告 区 分 および 申 告 種 類 の 選 択 方 法 5 繰 越 損 失 入 力 年 別 の 繰 越 損 失 額 入 力 に 対 応 6 作 成 手 順 作 成 手 順 の 流 れを 提 供 7 所 得

目 次 1 個 人 基 本 情 報 個 人 基 本 情 報 入 力 画 面 の 分 散 4 申 告 区 分 および 申 告 種 類 の 選 択 方 法 5 繰 越 損 失 入 力 年 別 の 繰 越 損 失 額 入 力 に 対 応 6 作 成 手 順 作 成 手 順 の 流 れを 提 供 7 所 得 所 得 税 R4 機 能 アップ 内 容 Ver.13.1 所 得 税 R4 主 な 機 能 アップ 内 容 についてご 説 明 いたします 当 資 料 は2014 年 3 月 時 点 のものです 内 容 や 画 像 は 予 告 なく 変 更 される 場 合 がございます Rev.1.1 1 目 次 1 個 人 基 本 情 報 個 人 基 本 情 報 入 力 画 面 の 分 散 4 申 告 区 分

More information

2 科 学 研 究 費 助 成 事 業 のトップページ 画 面 が 表 示 されます [ 研 究 者 ログイン]をクリック します 掲 載 している 画 面 は 例 示 です 随 時 変 更 されます 3 科 研 費 電 子 申 請 システムの 応 募 者 ログイン 画 面 が 表 示 されます e

2 科 学 研 究 費 助 成 事 業 のトップページ 画 面 が 表 示 されます [ 研 究 者 ログイン]をクリック します 掲 載 している 画 面 は 例 示 です 随 時 変 更 されます 3 科 研 費 電 子 申 請 システムの 応 募 者 ログイン 画 面 が 表 示 されます e 2.2.1 科 研 費 電 子 申 請 システム( 交 付 申 請 手 続 き)へログイン 1 Web ブラウザを 起 動 し 日 本 学 術 振 興 会 の 電 子 申 請 のご 案 内 サイト (http://www-shinsei.jsps.go.jp/)を 表 示 し 科 学 研 究 費 助 成 事 業 をクリックします 8 2 科 学 研 究 費 助 成 事 業 のトップページ 画 面 が

More information

目 次 遺 失 物 管 理 プログラム 利 用 者 マニュアル 1. 動 作 条 件... 2 2. 遺 失 物 管 理 プログラムのインストール... 2 3. 運 用 の 流 れ... 3 3.1. 起 動 方 法... 3 4. 操 作 方 法 について... 4 4.1. 基 本 的 な 操

目 次 遺 失 物 管 理 プログラム 利 用 者 マニュアル 1. 動 作 条 件... 2 2. 遺 失 物 管 理 プログラムのインストール... 2 3. 運 用 の 流 れ... 3 3.1. 起 動 方 法... 3 4. 操 作 方 法 について... 4 4.1. 基 本 的 な 操 平 成 19 年 11 月 9 日 目 次 遺 失 物 管 理 プログラム 利 用 者 マニュアル 1. 動 作 条 件... 2 2. 遺 失 物 管 理 プログラムのインストール... 2 3. 運 用 の 流 れ... 3 3.1. 起 動 方 法... 3 4. 操 作 方 法 について... 4 4.1. 基 本 的 な 操 作 方 法... 4 4.2. 項 目 の 入 力 値 制 限

More information

ScanFront 300P/330 取扱説明書

ScanFront 300P/330 取扱説明書 ScanFront 300P/330 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for

More information

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を

SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 文 言 変 更 p.12(1. 基 本 事 項 ) (5)SXF 入 出 力 バージョン Ver.2 形 式 と Ver.3.0 形 式 および Ver.3.1 形 式 の 入 出 力 機 能 を SXF 仕 様 実 装 規 約 版 ( 幾 何 検 定 編 ) 新 旧 対 照 表 2013/3/26 改 訂 の 要 因 旧 新 (2013 年 4 月 版 ) 文 言 削 除 p.11(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 p.5(1. 基 本 事 項 ) (2) 保 証 すべき 実 数 の 精 度 1. 用 紙 系 ( 線 種 ピッチ 等 用 紙 上 の 大 きさで

More information

1.2. ご 利 用 環 境 1.2.1. 推 奨 ブラウザ Internet Explorer 10 11 Google Chrome(バージョン 32 時 点 で 動 作 確 認 済 み) Mozilla Firefox(バージョン 26 時 点 で 動 作 確 認 済 み) Safari 7

1.2. ご 利 用 環 境 1.2.1. 推 奨 ブラウザ Internet Explorer 10 11 Google Chrome(バージョン 32 時 点 で 動 作 確 認 済 み) Mozilla Firefox(バージョン 26 時 点 で 動 作 確 認 済 み) Safari 7 1. アーカイブデータベースを 検 索 / 閲 覧 する 1.1. データの 検 索 方 法 東 京 アーカイブ では 以 下 に 分 類 されるカテゴリの 画 像 データ 資 料 データを 閲 覧 できます 江 戸 城 浮 世 絵 双 六 和 漢 書 江 戸 東 京 の 災 害 記 録 絵 葉 書 写 真 帖 近 代 の 地 図 東 京 府 東 京 市 関 係 資 料 番 付 建 築 図 面 書

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 創 薬 基 盤 推 進 研 究 事 業 (4 次 公 募 ) H27 年 度 公 募 に 係 る 府 省 共 通 研 究 開 発 管 理 システム (e-rad)への 入 力 方 法 について 1 目 次 1.はじめに 2. 実 際 の 応 募 手 続 き 3. 応 募 手 続 き 完 了 の 確 認 2 1-a. はじめに1 注 意 事 項 1. 平 成 27 年 度 の 創 薬 基 盤 推 進

More information

V-CUBE One

V-CUBE One V-CUBE One Office 365 連 携 マニュアル ブイキューブ 2016/06/03 この 文 書 は V-CUBE One の Office 365 連 携 用 ご 利 用 マニュアルです 更 新 履 歴 更 新 日 内 容 2016/02/09 新 規 作 成 2016/03/11 Office 365 ID を 既 存 の One 利 用 者 と 紐 付 ける 機 能 に 関 する

More information

Office 10 パッケージ版「リンク集」

Office 10 パッケージ版「リンク集」 Office 10 パッケージ 版 リンク 集 バージョン 10.3 Copyright (C) 2013-2015 Cybozu リンク 集 リンク 集 は よく 利 用 するWebサイトのURLを 登 録 するアプリケーションです リンク 集 には 次 の2 種 類 のリンクを 管 理 できます 共 有 リンク: すべてのユーザーが 共 有 して 使 用 できるリンクです システム 管 理 者

More information

目 次 目 次... 本 書 の 見 かた... 2 商 標 について... 2 オープンソースライセンス 公 開... 2 はじめに... 3 概 要... 3 使 用 環 境 について... 4 対 応 している OS およびアプリケーション... 4 ネットワーク 設 定... 4 Googl

目 次 目 次... 本 書 の 見 かた... 2 商 標 について... 2 オープンソースライセンス 公 開... 2 はじめに... 3 概 要... 3 使 用 環 境 について... 4 対 応 している OS およびアプリケーション... 4 ネットワーク 設 定... 4 Googl Google ク ラ ウ ド プ リ ン ト ガイ ド 目 次 目 次... 本 書 の 見 かた... 2 商 標 について... 2 オープンソースライセンス 公 開... 2 はじめに... 3 概 要... 3 使 用 環 境 について... 4 対 応 している OS およびアプリケーション... 4 ネットワーク 設 定... 4 Google クラウド プリントの 設 定... 5 手

More information

R4財務対応障害一覧

R4財務対応障害一覧 1 仕 訳 入 力 仕 訳 入 力 時 摘 要 欄 で. + Enter を 押 すと アプリケーションでエラーが 発 生 しまインデックスが 配 列 の 境 界 外 です が 出 る 場 合 がある 問 題 に 対 応 しま 2 仕 訳 入 力 仕 訳 入 力 主 科 目 と 補 助 科 目 を 固 定 にすると2 行 目 以 降 の 補 助 科 目 コピーが 動 作 しない 問 題 に 対 応

More information

事 業 所 マイページ 労 務 管 理 機 能 操 作 マニュアル 1. 労 務 管 理 メニュー 2. 会 社 情 報 を 確 認 する 3. 社 員 情 報 を 確 認 する 4. 社 員 のマイナンバーを 確 認 編 集 する 5. マイナンバーの 利 用 履 歴 を 確 認 する 6. 社

事 業 所 マイページ 労 務 管 理 機 能 操 作 マニュアル 1. 労 務 管 理 メニュー 2. 会 社 情 報 を 確 認 する 3. 社 員 情 報 を 確 認 する 4. 社 員 のマイナンバーを 確 認 編 集 する 5. マイナンバーの 利 用 履 歴 を 確 認 する 6. 社 事 業 所 マイページ 労 務 管 理 機 能 操 作 マニュアル 株 式 会 社 セルズ 事 業 所 マイページ 労 務 管 理 機 能 操 作 マニュアル 1. 労 務 管 理 メニュー 2. 会 社 情 報 を 確 認 する 3. 社 員 情 報 を 確 認 する 4. 社 員 のマイナンバーを 確 認 編 集 する 5. マイナンバーの 利 用 履 歴 を 確 認 する 6. 社 員 が 入

More information

01_07_01 データのインポート_エクスポート_1

01_07_01 データのインポート_エクスポート_1 データのインポート/エクスポートについて 概 要 スタッフエクスプレスでは 他 のソフトウェアで 作 成 されたスタッフデータ 得 意 先 データなどを 取 り 込 む(インポートする)ことができます また スタッフエクスプレスに 登 録 済 みのデータを Excel 形 式 CSV 形 式 で 出 力 (エクスポート)す ることができます 注 意 インポートできるデータは 次 の 条 件 を 満

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

目 次 ログインする 前 に... 4 メンバー 管 理 編 ( 管 理 者 )... 5 ログインする... 6 トップページについて... 7 メンバー 管 理 をする... 8 メンバー 管 理 画 面 について... 8 1 医 療 機 関 指 定... 9 2 新 規 追 加 指 定...

目 次 ログインする 前 に... 4 メンバー 管 理 編 ( 管 理 者 )... 5 ログインする... 6 トップページについて... 7 メンバー 管 理 をする... 8 メンバー 管 理 画 面 について... 8 1 医 療 機 関 指 定... 9 2 新 規 追 加 指 定... 取 扱 説 明 書 心 電 クラウド ブラウザ 編 Ver.1.6C 目 次 ログインする 前 に... 4 メンバー 管 理 編 ( 管 理 者 )... 5 ログインする... 6 トップページについて... 7 メンバー 管 理 をする... 8 メンバー 管 理 画 面 について... 8 1 医 療 機 関 指 定... 9 2 新 規 追 加 指 定... 9 3 ユーザー 権 限 指

More information

CSI情報管理システム

CSI情報管理システム 発 行 2012/07/23 情 報 システム 課 松 本 概 要 勤 務 スケジュール 管 理 画 面 の 機 能 を 一 新 し 未 来 の 予 定 をスケジュールできる ようになりました また CSI にて 登 録 するアクション 勤 務 予 定 結 果 車 両 運 行 記 録 が 連 動 している SFI の 日 報 に 自 動 的 に 書 かれる 仕 組 みを 強 化 しまし た データの

More information

管理者ガイド

管理者ガイド 用 紙 とお 気 に 入 り バージョン 5.1 管 理 者 ガイド 2016 年 7 月 www.lexmark.com 内 容 2 内 容 変 更 履 歴... 3 概 要... 4 アプリケーションの 設 定... 5 内 蔵 Web サーバーにアクセスする... 5 ブックマークの 設 定... 5 アプリケーションアイコンをカスタマイズする... 5 設 定 ファイルをインポートまたはエクスポートする...

More information

Si-R130取扱説明書

Si-R130取扱説明書 C133-E102-04 June 2002 INS 64 ISDN OCN DA64 128 LAN-WAN WWW WWW LAN 2002 6 ISDN B1 B2 10BASE-T LAN 1 1 P.648 ISDN P.641 P.528 Microsoft Corporation All rights reserved, Copyright 2002 1 ...1...2...11...13...

More information

Server と Lync Server の 使 用 が 含 まれます ユーザーは これらの 製 品 とともに 使 用 するのに 必 要 な Windows Server CAL と Exchange および/または Lync Server の 適 切 な CAL を 取 得 する 必 要 がありま

Server と Lync Server の 使 用 が 含 まれます ユーザーは これらの 製 品 とともに 使 用 するのに 必 要 な Windows Server CAL と Exchange および/または Lync Server の 適 切 な CAL を 取 得 する 必 要 がありま ボリューム ライセンス 簡 易 ガイド ベースおよび 追 加 クライアント アクセス ライセンス (CAL) この 簡 易 ガイドは すべてのマイクロソフト ボリューム ライセンス プログラムに 適 用 されます 目 次 概 要... 1 この 簡 易 ガイドの 更 新 内 容... 1 詳 細... 1 追 加 CAL... 2 2010 年 12 月 1 日 より 有 効 となる Microsoft

More information

メール 受 信 画 面 のレイアウトを 変 更 することができます ここでは 初 期 設 定 のレイアウトで 表 示 されているボタ ンやマークについて 解 説 します メール 一 覧 画 面 には 受 信 したメールが 一 覧 表 示 されます メール 受 信 タブをクリックすると 受 信 箱 フ

メール 受 信 画 面 のレイアウトを 変 更 することができます ここでは 初 期 設 定 のレイアウトで 表 示 されているボタ ンやマークについて 解 説 します メール 一 覧 画 面 には 受 信 したメールが 一 覧 表 示 されます メール 受 信 タブをクリックすると 受 信 箱 フ .3 1...3 メール 受 信 タブのサブメニューから 直 接 受 信 箱 以 外 のフォルダを 表 示 することもできます 共 有 メー ルボックスのフォルダは 指 定 できません 3. 35 メール 受 信 画 面 のレイアウトを 変 更 することができます ここでは 初 期 設 定 のレイアウトで 表 示 されているボタ ンやマークについて 解 説 します メール 一 覧 画 面 には 受

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション インターネット 出 願 手 引 き (システムWAKABA 継 続 入 学 申 請 手 引 き) 目 次 1.システムWAKABAトップ 画 面 2 2. 学 生 種 選 択 画 面 3 3. 出 願 申 請 画 面 (1) 全 科 履 修 生 の 場 合 4 (2) 全 科 履 修 生 以 外 の 場 合 6 4.オンライン 授 業 8 5. 科 目 登 録 申 請 画 面 (1) 授 業 種 別

More information

PlanAhead ソフトウェア チュートリアル : RTL デザインと CORE Generator を使用した IP の生成

PlanAhead ソフトウェア チュートリアル : RTL デザインと CORE Generator を使用した IP の生成 RTL デザインと CORE Generator を使用した IP の生成 UG 675 (v 12.2) 2010 年 7 月 23 日 Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs

More information