<5A2D38308A E9197BF2E786C73>

Size: px
Start display at page:

Download "<5A2D38308A E9197BF2E786C73>"

Transcription

1 A.Z-80 レジスタ構成図 主レジスタ 補助レジスタ アキュームレータ A フラグレジスタ F アキュームレータ A' フラグレジスタ F' B C B' C' D E D' E' 汎用レジスタ H L H' L' インタラプトベクトルレジスタ I メモリリフレッシュレジスタ R インデックスレジスタ IX インデックスレジスタ IY 専用レジスタ スタックポインタ SP プログラムカウンタ PC

2 B.Z-80 活用法 8 ビット ロード LD A, I R A B C D E H L (HL) (BC) (DE) (IX +) 57 5F 7F A 7B 7C 7D 7E 0A 1A LD B, LD C, 4F A 4B 4C 4D 4E LD D, LD E, 5F A 5B 5C 5D 5E LD H, LD L, 6F A 6B 6C 6D 6E LD (HL), E 46 4E 56 5E 66 6E (IY +) 7E 46 4E 56 5E 66 6E () 3A 3E 06 0E 16 1E 26 2E 36 LD (BC), 02 LD (DE), 12 LD (IX+), LD (IY+), LD (), LD I LD R, 4F

3 16 ビット ロード AF BC DE HL SP IX IY () LD AF, LD BC, LD DE, LD HL, LD SP, LD IX, LD IY, LD (), PUSH F5 C5 D5 E E5 22 E B 5B 2A 7B 2A 2A POP F1 C1 D1 E1 E1 E1 ブロック転送 ブロック サーチ LDI A0 CPI A1 LDIR B0 CPIR B1 L A8 CPD A9 LR B8 CPDR B9

4 8 ビット算術論理演算 A B C D E H L (HL) (IX +) A A, ADC A, 8F A 8B 8C 8D 8E SUB SBC A, 9F A 9B 9C 9D 9E AND A7 A0 A1 A2 A3 A4 A5 A6 XOR AF A8 A9 AA AB AC AD AE OR B7 B0 B1 B2 B3 B4 B5 B6 CP BF B8 B9 BA BB BC BD BE INC 3C 04 0C 14 1C 24 2C 34 DEC 3D 05 0D 15 1D 25 2D E 96 9E A6 AE B6 BE (IY +) 86 8E 96 9E A6 AE B6 BE C6 CE D6 DE E6 EE F6 FE 16 ビット算術演算エクスチェンジ BC DE HL SP IX IY EX AF, AF 08 A HL, EX DE, HL EB A IX, A IY, ADC HL, SBC HL, A A 52 6A A INC DEC 0B 1B 2B 3B 2B B EX (SP), HL EX (SP), IX EX (SP), IY EXX E3 E3 E3 D9

5 ローテート シフト A RLCA 07 RRCA 0F RLA 17 RRA 1F CPU コントロールアキュムレータ操作 NOP HALT 76 2F DI F3 EI FB 3F 37 RLC X x A 07 B 00 C 01 D 02 E 03 H 04 L 05 (HL) 06 (IX +) 06 (IY +) 06 RRC X 0F A 0B 0C 0D 0E 0E 0E RL X RR X 1F A 1B 1C 1D 1E 1E 1E SLA X SRA X 2F A 2B 2C 2D 2E 2E 2E SRL X 3F A 3B 3C 3D 3E 3E 3E RLD 6F RRD IM E IM 1 DAA CPL IM 0 CCF SCF NEG

6 ジャンプ, コール, リターン X UN COND C C3 DA JP X, JR X, e e-2 e-2 JP (HL) E9 JP (IX) E9 JP (IY) E9 CALL X, DINZ e CD DC NC D2 30 e-2 D4 Z CA 28 e-2 CC NZ C2 20 e-2 RET X C9 D8 D0 C8 C0 E8 E0 F8 F0 RETI 4D RETN 45 C4 PE EA EC PO E2 E4 M FA FC P F2 F4 10 e-2 リスタート RST 00H RST 08H RST 10H RST 18H RST 20H RST 28H RST 30H RST 38H C7 CF D7 DF E7 EF F7 FF

7 ビット操作 X A B C D E H L (HL) (LX+) (IY+) BIT 0, X BIT 1, X 4F A 4B 4C 4D 4E 4E 4E BIT 2, X BIT 3, X 5F A 5B 5C 5D 5E 5E 5E BIT 4, X BIT 5, X 6F A 6B 6C 6D 6E 6E 6E BIT 6, X BIT 7, X 7F A 7B 7C 7D 7E 7E 7E RES 0, X RES 1, X 8F A 8B 8C 8D 8E 8E 8E RES 2, X RES 3, X 9F A 9B 9C 9D 9E 9E 9E

8 RES 4, X A7 A0 A1 A2 A3 A4 A5 A6 A6 A6 RES 5, X AF A8 A9 AA AB AC AD AE AE AE RES 6, X B7 B0 B1 B2 B3 B4 B5 B6 B6 B6 RES 7, X BF B8 B9 BA BB BC BD BE BE BE SET 0, X C7 C0 C1 C2 C3 C4 C5 C6 C6 C6 SET 1, X CF C8 C9 CA CC CD CE CE CE SET 2, X D7 D0 D1 D2 D3 D4 D5 D6 D6 D6 SET 3, X DF D8 D9 DA DB DC DE DE DE SET 4, X E7 E0 E1 E2 E3 E4 E5 E6 E6 E6 SET 5, X EF E8 E9 EA EB EC EE EE EE SET 6, X F7 F0 F1 F2 F3 F4 F5 F6 F6 F6 SET 7, X FF F8 F9 FA FB FC FE FE FE

9 入力 出力 IN A, () DB OUT (), A D3 IN A, (C) 78 OUT (C), A 79 IN B, (C) 40 OUT (C), B 41 IN C, (C) 48 OUT (C), C 49 IN D, (C) 50 OUT (C), D 51 IN E, (C) 58 OUT (C), E 59 IN H, (C) 60 OUT (C), H 61 IN L, (C) 68 OUT (C), L 69 INI A2 OUTI A3 INIR B2 OTIR B3 IND AA OUTD AB INDR BA OTDR BB

10 C. Z-80 命令表 8 ビットロード ニーモニックオペレーション OP コード フラグ HEX C Z P/V S N H LD r, r ' r r ' 01 r r ' r, r ' レジスタ LD r, r 00 r B 001 C LD r, (HL) r (HL) 01 r D LD r, (IX+) r (IX+) E 01 r H 101 L LD r, (IY+) r (IY+) A 01 r 110 LD (HL), r (HL) r r LD (IX+), r (IX+) r r LD (IY+), r (IY+) r r LD (HL), (HL) LD (IX+), (IX+) LD (IY+), (IY+) LD A, (BC) A (BC) A LD A, (DE) A (DE) A LD A, () A () A LD (BC), A (BC) A LD (DE), A (DE) A LD (), A () A LD A, I A I IFF LD A, R A R IFF F LD I, A I A LD R, A R A F ( 注 ) r, r ' はA, B, C, D, E, H, Lレジスタを指す. IFF( 割込みイネーブル フリップ フロップ ) はP/Vフラグにコピーされる. フラグ : = 変化なし,0= リセット,1= セット,X= 不定 = 演算の結果でセットまたはリセットされる バイト数 M サイクル数 T ステート数 備考

11 16 ビットロード OPコードバイ Mサイ Tステニーモニックオペレーション備考 C Z P/V S N H ト数クル数ート数 HEX LD, レジスタペア 00 BC 01 DE LD IX, IX HL SP LD IY, IY LD HL, () H (+1) A は2バイト数. L () 下位 1バイトはOPコードの 直後. LD, () H (+1) 上位 1バイトはその次に入る. L () LD IX, () IXH (+1) IXL () A LD IY, () IYH (+1) IYL () A LD (), HL (+1) H () L フラグ LD (), (+1) H () L LD (), IX (+1) IXH () IXL LD (), IY (+1) IYH () IYL LD SP, HL SP HL F LD SP, IX SP IX F9 LD SP, IY SP IY F9 PUSH qq (SP-2) qql 11 qq qq レジスタペア (SP-1) qqh 00 BC PUSH IX (SP-2) IXL DE (SP-1) IXH E5 10 HL PUSH IY (SP-2) IYL AF (SP-1) IYH E5 POP qq qqh (SP+1) 11 qq qql (SP) POP IX IXH (SP+1) IXL (SP) E1 POP IY IYH (SP+1) IYL (SP) E1 ( 注 ) はレジスタ ペアBC, DE, HL, SP qqはレジスタ ペアAF, BC, DE, HL ( ペア レジスタ )H,( ペア レジスタ )Lは各ペアレジスタの上位または下位 8ビットを意味します. 例 BCL = C AFH = A

12 交換 / ブロック転送 / サーチ ニーモニックオペレーション OP コード HEX EX DE, HL DE HL EB EX AF, AF AF AF ' EXX BC BC' D レジスタの切替え DE DE' HL HL' EX (SP), HL H (SP+1) E L (SP) EX (SP), IX IXH (SP+1) IXL (SP) E3 EX (SP), IY IYH (SP+1) IYL (SP) E3 LDI (DE) (HL) ポインタ DE DE A0 1 1 増 HL HL+1 バイト カウンタ BC BC-1 1 減 LDIR (DE) (HL) BC 0のとき DE DE B0 HL HL+1 BC BC-1 BC=0ならば BC=0のとき 終わり L (DE) (HL) DE DE A8 1 HL HL-1 BC BC-1 LR (DE) (HL) BC 0のとき DE DE B8 HL HL-1 BC BC-1 BC=0ならば BC=0のとき 終わり CPI A-(HL) HL HL A1 2 1 BC BC-1 CPIR A-(HL) BC 0かつ HL HL B1 2 1 A (HL) のとき BC BC-1 A=(HL) または BC=0か BC=0ならば A=(HL) のとき 終わり CPD A-(HL) HL HL B9 BC BC-1 CPDR A-(HL) BC 0かつ HL HL B9 2 1 A (HL) のとき BC BC-1 A=(HL) または BC=0か BC=0ならば A=(HL) のとき 終わり ( 注 ) 1BC-1=0 ならば P/V は 0, その他は 1. 2A=(HL) ならば Z は 1, その他は 0. C フラグ Z P/V S N H バイト数 M サイクル数 T ステート数 備考

13 8 ビット算術論理演算 ニーモニックオペレーション OP コード C フラグ Z P/V S HEX A A, r A A+r 10 k r V r レジスタ A A, A A+ 11 k 110 V B 001 C A A, (HL) A A+(HL) 10 k V D A A, (IX+) A A+(IX+) V E 10 k H 101 L A A, (IY+) A A+(IY+) V A 10 k ニーモニック k ADC A, s A A+s+CY K=001 V 0 A 000 SUB s A A-s K=010 V 0 ADC 001 SBC A, s A A-s-CY K=011 V 0 SUB 010 AND s A A AND s K=100 0 P 0 1 SBC 011 OR s A A OR s K=110 0 P 0 0 AND 100 XOR s A A XOR s K=101 0 P 0 0 OR 110 CP s A-s K=111 V 1 XOR 101 INC r r r+1 00 r l V CP 111 INC (HL) (HL) (HL) l V s = r,, (HL), (IX+), (IY+) INC (IX+) (IX+) V (IX+) l ニーモニック l INC 100 INC (IY+) (IY+) V DEC 101 (IY+) l DEC m m m-1 INC 命令の V 1 m = r, (HL),(IX+),(IY+) l =101 ( 注 ) Vはオーバフロー フラグとして, Pはパリティフラグとして扱われることを意味する. N H バイト数 M サイクル数 T ステート数 備考

14 アキュームレータ操作命令 /CPU コントロール命令 ニーモニックオペレーション C HEX Z P/V S N H DAA 10 進補正 P デシマル アジャスト ( 加算, 減算 ) アキュムレータ CPL A A F の補数 A NEG A 0-A V の補数 A CCF CY CY F 0 X キャリの反転 SCF CY X キャリのセット NOP No operatio HALT CPU 待機 DI IFF F 割込み禁止 EI IFF FB 割込み許可 IM 0 MODE0に 割込みモードのセット セット IM 1 MODE1に セット IM 2 MODE2に セット E ( 注 ) IFFは割込みフリップ フロップ CYはキャリ フリップフロップ. 16 ビット算術演算 ニーモニックオペレーション OP コード OP コード フラグ フラグ C HEX Z P/V S N H A HL, ss HL HL+ss 00 ss X SS レジスタペア ADC HL, ss HL HL+ss V 0 X BC +CY 01 ss DE SBC HL, ss HL HL-ss V 1 X HL -CY 01 ss SP A IX, pp IX IX+pp X pp1 001 PP レジスタペア A IY, rr IY IY+rr FF 0 X BC 00 rr DE INC ss ss ss+1 00 ss IX INC IX IX IX SP INC IY IY IY rr レジスタペア BC DEC ss ss ss-1 00 ss DE DEC IX IX IX IY B 11 SP DEC IY IY IY B ( 注 ) ssはレジスタ ペアBC, DE, HL, SP, ppはレジスタ ペアBC, DE, IX, SP, rrはレジスタ ペアBC, DE, IY, SP. バイト数 バイト数 M サイクル数 M サイクル数 T ステート数 T ステート数 備考 備考

15 ローテイト シフト フラグ OPコードバイ Mサイ Tステニーモニックオペレーション C Z P/V S N H ト数クル数ート数 HEX 備考 RLCA CY 左ローテイト サーキュラ A アキュムレータ RLA CY 左ローテイト アキュムレータ A RRCA F CY 右ローテイト サーキュラ A アキュムレータ RRA F CY 右ローテイト アキュムレータ A RLC r P 左ローテイト サーキュラ 00 k r レジスタ r RLC (HL) P r レジスタ 00 k B RLC (IX+) P C CY D 011 E r, (HL), 00 k H RLC (IY+) (IX+), P L (IY+) A 00 k 110 RL m P 0 0 ニーモニック k CY 7 0 m RLC 000 RRC m P 0 0 RRC CY m RL 010 RR m 上記 RLCを P 0 0 RR CY 基本形とし m SLA 100 それぞれ SLA m P 0 0 SRA 101 CY 種ある. m ( 備考参照 ) SRL 111 SRA m P CY m m = r, (HL), (IX+), (IY+) SRL m CY P 0 0 m RLD P 左ローテイト ディジットアキュ F ムレータ A (HL) アキュムレータ上位 4ビット変化せず. RRD P 右ローテイト ディジットアキュ ムレータ A (HL) アキュムレータ上位 4ビット変化せず. *mに依存する.

16 ビット操作 ニーモニックオペレーション OP コード HEX BIT b, r Z rb X X r レジスタ 01 b r 000 B BIT b, (HL) Z (HL)b X X C 01 b D BIT b, (IX+) Z (IX+)b X X E H 101 L 01 b A BIT b, (IY+) Z (IY+)b X X b テスト ビット b SET b, r rb a b r SET b, (HL) (HL)b a b SET b, (IX+) (IX+)b a b 110 ニーモニック a SET b, (IY+) (IY+)b SET RES 10 a b 110 RES b, m mb 0 m = r, (HL), 上記 SETを基本形とし (IX+), (IY+) 4 種ある. ( 注 ) mb のbはmの示すメモリ内容, またはレジスタのビット0~7を示す. C フラグ Z P/V S N H バイト数 M サイクル数 T ステート数 備考

17 ジャンプ ニーモニックオペレーション OP コード フラグ C Z P/V S N H HEX JP PC C cc 条件 000 NZ 001 Z JP cc, ccが真ならば 11 cc NC PC 011 C その他は次へ 100 PO JR e PC PC+e PE e P JR C, e C=1ならば M PC PC+e e-2 C=0ならば次へ NZ : ノンゼロ JR NC, e C=0ならば Z : ゼロ PC PC+e e-2 C : キャリ C=1ならば次へ PO : パリティ奇数 JR Z, e Z=1ならば PE : パリティ偶数 PC PC+e e-2 P : 正 Z=0ならば次へ M : 負 JR NZ, e Z=0ならば PC PC+e e-2 Z=1ならば次へ JP (HL) PC HL E JP (IX) PC IX E9 JP (IY) PC IY E9 DJNZ e B B B 0ならば e-2 PC PC+e B=0ならば次へ ( 注 ) e はリラティブアドレシング モードでの変位値. e は符号付 2 の補数値 (-126~+129). バイト数 M サイクル数 T ステート数 備考

18 コール / リターン ニーモニックオペレーション HEX C Z P/V S N H CALL (SP-1) PCH CD cc 条件 (SP-2) PCL 000 NZ PC 001 Z CALL cc, ccが真ならば 11 cc NC CALL と 011 C 同じ 100 PO その他なら次へ PE RET PCL (SP) C P PCH (SP+1) 111 M RET cc cc が真ならば 11 cc RET と同じ OP コード フラグ NZ : ノンゼロ Z : ゼロ C : キャリ PO : パリティ奇数 PE : パリティ偶数 P : 正 M : 負 その他なら次へ RETI 割込みからの リターン D RETN ノン マスカブ t p ル割込みから H のリターン H RST p (SP-1) PCH 11 t H (SP-2) PCL H PCH H PCL P H H H バイト数 M サイクル数 T ステート数 備考

19 入力 / 出力 ニーモニックオペレーション OP コード フラグ C Z P/V S N H HEX IN A, () A () DB A0~A7 A A8~A15 IN r, (C) r (C) P r 000 INI (HL) (C) X X 1 X C A0~A7 B B A2 1 B A8~A15 HL HL+1 INIR (HL) (C) X X 1 X r レジスタ B B B2 (B 0のとき) 000 B HL HL C B=0まで繰返す (B=0のとき) 010 D IND (HL) (C) X X 1 X E B B AA H HL HL L INDR (HL) (C) X X 1 X A B B BA (B 0のとき) HL HL B=0まで繰返す (B=0のとき) OUT (), A () A D A0~A7 A A8~A15 OUT (C), r (C) r r 001 OUTI (C) (HL) X X 1 X C A0~A7 B B A3 1 B A8~A15 HL HL+1 OTIR (C) (HL) X X 1 X B B B3 (B 0のとき) HL HL B=0まで繰返す (B=0のとき) OUTD (C) (HL) X X 1 X B B AB 1 HL HL-1 OTDR (C) (HL) X X 1 X B B BB (B 0のとき) HL HL B=0まで繰返す (B=0のとき) ( 注 ) 1B-1が0になればZフラグがセットされ, それ以外のときはリセットされる. A0~15はアドレス バス. バイト数 M サイクル数 T ステート数 備考

20 D. フラグレジスタ D7 S D0 Z H P/V N C 加算 / 減算 パリティ / オーバー キャリー Half キャリー 未定義 未定義 ゼロ サイン インストラクション D 7 D 6 D 5 D 4 S Z M D 3 D 2 D 1 D 0 P/V N C コメント A A, s/adc A, s V 0 8ビット加算命令 SUB s/sbc A, s/cp s/neg V 1 8ビット減算 比較 NEG 命令 AND s 1 P 0 0 OR s/xor s 0 P 0 0 論理演算命令 INC s V 0 8ビット インクリメント命令 DEC s V 1 8ビット デクリメント命令 A,ss 0 16ビット加算命令 ADC HL,ss V 0 16ビット加算命令 ( キャリー含む ) SBC HL,ss V 1 16ビット減算命令 ( キャリー含む ) RLA/RLCA/RRA/RRCA 0 0 ローテイト アキュームレータ命令 RLS/RLC s/rr s ; R RC s 0 P 0 ローテイト シフト命令 SLA s/sra s/srl s RLD/RRD 0 P 0 ローテイト デジット令 DAA P 10 進補正演算命令 CPL 1 1 アキュムレータ反転命令 SCF キャリーセット命令 CCF 0 キャリー反転命令 IN r, (C) P 0 入力ポート指定命令 INI/IND/OUTI/OUTD INIR/INDR/OTIR/OTDR LDI/L LDIR/LR ブロックI/O 命令 B 0ならばZ=0, その他はZ=1 ブロック転送命令 BC 0ならばP/V=1, その他はP/V=0 CPI/CPIR ブロック サーチ命令 1 A=(HL) ならばZ=1, その他はZ=0 CPD/CPDR BC 0ならばP/V=1, その他はP/V=0 LD A,I/LD A,R 0 IFF 0 IFFの内容をP/Vにコピー BIT b, s 1 0 Sのビットbの内容をZにコピー

21 E. 機械語 ニーモニック対応表 A 0B 0C 0D 0E 0F A 1B 1C 1D 1E 1F A 2B 2C 2D 2E 2F A 3B 3C 3D 3E 3F 機械語 ニーモニック NOP 40 LD B,B 80 A A,B C0 RET NZ LD BC, 41 LD B,C 81 A A,C C1 POP BC LD (BC),A 42 LD B,D 82 A A,D C2 JP NZ, INC BC 43 LD B,E 83 A A,E C3 JP INC B 44 LD B,H 84 A A,H C4 CALL NZ, DEC B 45 LD B,L 85 A A,L C5 PUSH BC LD B, 46 LD B,(HL) 86 A A,(HL) C6 A A, RLCA 47 LD B,A 87 A A,A C7 RST 00H EX AF,AF' 48 LD C,B 88 ADC A,B C8 RET Z A HL,BC 49 LD C,C 89 ADC A,C C9 RET LD A,(BC) 4A LD C,D 8A ADC A,D CA JP Z, DEC BC 4B LD C,E 8B ADC A,E INC C 4C LD C,H 8C ADC A,H CC CALL Z, DEC C 4D LD C,L 8D ADC A,L CD CALL LD C, 4E LD C,(HL) 8E ADC A,(HL) CE ADC A, RRCA 4F LD C,A 8F ADC A,A CF RST 08H DJNZ e 50 LD D,B 90 SUB B D0 RET NC LD DE, 51 LD D,C 91 SUB C D1 POP DE LD (DE),A 52 LD D,D 92 SUB D D2 JP NC, INC DE 53 LD D,E 93 SUB E D3 OUT (),A INC D 54 LD D,H 94 SUB H D4 CALL NC, DEC D 55 LD D,L 95 SUB L D5 PUSH DE LD D, 56 LD D,(HL) 96 SUB (HL) D6 SUB RLA 57 LD D,A 97 SUB A D7 RST 10H JR e 58 LD E,B 98 SBC A,B D8 RET C A HL,DE 59 LD E,C 99 SBC A,C D9 EXX LD A,(DE) 5A LD E,D 9A SBC A,D DA JP C, DEC DE 5B LD E,E 9B SBC A,E DB IN A,() INC E 5C LD E,H 9C SBC A,H DC CALL C, DEC E 5D LD E,L 9D SBC A,L LD E, 5E LD E,(HL) 9E SBC A,(HL) DE SBC A, RRA 5F LD E,A 9F SBC A,A DF RST 18H JR NZ,e 60 LD H,B A0 AND B E0 RET PO LD HL, 61 LD H,C A1 AND C E1 POP HL LD (),HL 62 LD H,D A2 AND D E2 JP PO, INC HL 63 LD H,E A3 AND E E3 EX (SP),HL INC H 64 LD H,H A4 AND H E4 CALL PO, DEC H 65 LD H,L A5 AND L E5 PUSH HL LD H, 66 LD H,(HL) A6 AND (HL) E6 AND DAA 67 LD H,A A7 AND A E7 RST 20H JR Z,e 68 LD L,B A8 XOR B E8 RET PE A HL,HL 69 LD L,C A9 XOR C E9 JP (HL) LD HL,() 6A LD L,D AA XOR D EA JP PE, DEC HL 6B LD L,E AB XOR E EB EX DE,HL INC L 6C LD L,H AC XOR H EC CALL PE, DEC L 6D LD L,L AD XOR L LD L, 6E LD L,(HL) AE XOR (HL) EE XOR CPL 6F LD L,A AF XOR A EF RST 28H JR NC,e 70 LD (HL),B B0 OR B F0 RET P LD SP, 71 LD (HL),C B1 OR C F1 POP AF LD (),A 72 LD (HL),D B2 OR D F2 JP P, INC SP 73 LD (HL),E B3 OR E F3 DI INC (HL) 74 LD (HL),H B4 OR H F4 CALL P, DEC (HL) 75 LD (HL),L B5 OR L F5 PUSH AF LD (HL), 76 HALT B6 OR (HL) F6 OR SCF 77 LD (HL),A B7 OR A F7 RST 30H JR C,e 78 LD A,B B8 CP B F8 RET M A HL,SP 79 LD A,C B9 CP C F9 LD SP,HL LD A,() 7A LD A,D BA CP D FA JP M, DEC SP 7B LD A,E BB CP E FB EI INC A 7C LD A,H BC CP H FC CALL M, DEC A 7D LD A,L BD CP L LD A, 7E LD A,(HL) BE CP (HL) FE CP CCF 7F LD A,A BF CP A FF RST 38H

22 xx A 0B 0C 0D 0E 0F A 1B 1C 1D 1E 1F A 2B 2C 2D 2E 2F A 3B 3C 3D 3E 3F RLC B 40 BIT 0,B 80 RES 0,B C0 0,B RLC C 41 BIT 0,C 81 RES 0,C C1 0,C RLC D 42 BIT 0,D 82 RES 0,D C2 0,D RLC E 43 BIT 0,E 83 RES 0,E C3 0,E RCL H 44 BIT 0,H 84 RES 0,H C4 0,H RLC L 45 BIT 0,L 85 RES 0,L C5 0,L RLC (HL) 46 BIT 0,(HL) 86 RES 0,(HL) C6 0,(HL) RLC A 47 BIT 0,A 87 RES 0,A C7 0,A RRC B 48 BIT 1,B 88 RES 1,B C8 1,B RRC C 49 BIT 1,C 89 RES 1,C C9 1,C RRC D 4A BIT 1,D 8A RES 1,D CA 1,D RRC E 4B BIT 1,E 8B RES 1,E 1,E RRC H 4C BIT 1,H 8C RES 1,H CC 1,H RRC L 4D BIT 1,L 8D RES 1,L CD 1,L RRC (HL) 4E BIT 1,(HL) 8E RES 1,(HL) CE 1,(HL) RRC A 4F BIT 1,A 8F RES 1,A CF 1,A RL B 50 BIT 2,B 90 RES 2,B D0 2,B RL C 51 BIT 2,C 91 RES 2,C D1 2,C RL D 52 BIT 2,D 92 RES 2,D D2 2,D RL E 53 BIT 2,E 93 RES 2,E D3 2,E RL H 54 BIT 2,H 94 RES 2,H D4 2,H RL L 55 BIT 2,L 95 RES 2,L D5 2,L RL (HL) 56 BIT 2,(HL) 96 RES 2,(HL) D6 2,(HL) RL A 57 BIT 2,A 97 RES 2,A D7 2,A RR B 58 BIT 3,B 98 RES 3,B D8 3,B RR C 59 BIT 3,C 99 RES 3,C D9 3,C RR D 5A BIT 3,D 9A RES 3,D DA 3,D RR E 5B BIT 3,E 9B RES 3,E DB 3,E RR H 5C BIT 3,H 9C RES 3,H DC 3,H RR L 5D BIT 3,L 9D RES 3,L 3,L RR (HL) 5E BIT 3,(HL) 9E RES 3,(HL) DE 3,(HL) RR A 5F BIT 3,A 9F RES 3,A DF 3,A SLA B 60 BIT 4,B A0 RES 4,B E0 4,B SLA C 61 BIT 4,C A1 RES 4,C E1 4,C SLA D 62 BIT 4,D A2 RES 4,D E2 4,D SLA E 63 BIT 4,E A3 RES 4,E E3 4,E SLA H 64 BIT 4,H A4 RES 4,H E4 4,H SLA L 65 BIT 4,L A5 RES 4,L E5 4,L SLA (HL) 66 BIT 4,(HL) A6 RES 4,(HL) E6 4,(HL) SLA A 67 BIT 4,A A7 RES 4,A E7 4,A SRA B 68 BIT 5,B A8 RES 5,B E8 5,B SRA C 69 BIT 5,C A9 RES 5,C E9 5,C SRA D 6A BIT 5,D AA RES 5,D EA 5,D SRA E 6B BIT 5,E AB RES 5,E EB 5,E SRA H 6C BIT 5,H AC RES 5,H EC 5,H SRA L 6D BIT 5,L AD RES 5,L 5,L SRA (HL) 6E BIT 5,(HL) AE RES 5,(HL) EE 5,(HL) SRA A 6F BIT 5,A AF RES 5,A EF 5,A 70 BIT 6,B B0 RES 6,B F0 6,B 71 BIT 6,C B1 RES 6,C F1 6,C 72 BIT 6,D B2 RES 6,D F2 6,D 73 BIT 6,E B3 RES 6,E F3 6,E 74 BIT 6,H B4 RES 6,H F4 6,H 75 BIT 6,L B5 RES 6,L F5 6,L 76 BIT 6,(HL) B6 RES 6,(HL) F6 6,(HL) 77 BIT 6,A B7 RES 6,A F7 6,A SRL B 78 BIT 7,B B8 RES 7,B F8 7,B SRL C 79 BIT 7,C B9 RES 7,C F9 7,C SRL D 7A BIT 7,D BA RES 7,D FA 7,D SRL E 7B BIT 7,E BB RES 7,E FB 7,E SRL H 7C BIT 7,H BC RES 7,H FC 7,H SRL L 7D BIT 7,L BD RES 7,L 7,L SRL (HL) 7E BIT 7,(HL) BE RES 7,(HL) FE 7,(HL) SRL A 7F BIT 7,A BF RES 7,A FF 7,A

23 xx xx xx 09 A IX,BC 40 IN B,(C) 09 A IY, 19 A IX,DE 41 OUT (C),B 19 A IY,DE 21 LD IX, 42 SBC HL,BC 21 LD IY, 22 LD (),IX 43 LD (),BC 22 LD (),IY 23 INC IX 44 NEG 23 INC IY 29 A IX,HL 45 RETN 29 A IY,HL 2A LD IX,() 46 IM 0 2A LD IY,() 2B DEC IX 47 LD I,A 2B DEC IY 34 INC (IX+) 48 IN C,(C) 34 INC (IY+) 35 DEC (IX+) 49 OUT (C),C 35 DEC (IY+) 36 LD (IX+), 4A ADC HL,BC 36 LD (IY+), 39 A IX,SP 4B LD BC,() 39 A IY,SP 46 LD B,(IX+) 4D RETI 46 LD B,(IY+) 4E LD C,(IX+) 4F LD R,A 4E LD C,(IY+) 56 LD D,(IX+) 50 IN D,(C) 56 LD D,(IY+) 5E LD E,(IX+) 51 OUT (C),D 5E LD E,(IY+) 66 LD H,(IX+) 52 SBC HL,DE 66 LD H,(IY+) 6E LD L,(IX+) 53 LD (),DE 6E LD L,(IY+) 70 LD (IX+),B 56 IM 1 70 LD (IY+),B 71 LD (IX+),C 57 LD A,I 71 LD (IY+),C 72 LD (IX+),D 58 IN E,(C) 72 LD (IY+),D 73 LD (IX+),E 59 OUT (C),E 73 LD (IY+),E 74 LD (IX+),H 5A ADC HL,DE 74 LD (IY+),H 75 LD (IX+),L 5B LD DE,() 75 LD (IY+),L 77 LD (IX+),A 5E IM 2 77 LD (IY+),A 7E LD A,(IX+) 5F LD A,R 7E LD A,(IY+) 86 A A,(IX+) 60 IN H,(C) 86 A A,(IY+) 8E DC A,(IX+) 61 OUT (C),H 8E DC A,(IY+) 96 SUB (IX+) 62 SBC HL,HL 96 SUB (IY+) 9E SBC A,(IX+) 67 RRD 9E SBC A,(IY+) A6 AND (IX+) 68 IN L,(C) A6 AND (IY+) AE XOR (IX+) 69 OUT (C),L AE XOR (IY+) B6 OR (IX+) 6A ADC HL,HL B6 OR (IY+) BE CP (IX+) 6F RLD BE CP (IY+) 06 RLC (IX+) 72 SBC HL,SP 06 RLC (IY+) 0E RRC (IX+) 73 LD (),SP 0E RRC (IY+) 16 RL (IX+) 78 IN A,(C) 16 RL (IY+) 1E RR (IX+) 79 OUT (C),A 1E RR (IY+) 26 SLA (IX+) 7A ADC HL,SP 26 SLA (IY+) 2E SRA (IX+) 7B LD SP,() 2E SRA (IY+) 3E SRL (IX+) A0 LDI 3E SRL (IY+) 46 BIT 0,(IX+) A1 CPI 46 BIT 0,(IY+) 4E BIT 1,(IX+) A2 INI 4E BIT 1,(IY+) 56 BIT 2,(IX+) A3 OUTI 56 BIT 2,(IY+) 5E BIT 3,(IX+) A8 L 5E BIT 3,(IY+) 66 BIT 4,(IX+) A9 CPD 66 BIT 4,(IY+) 6E BIT 5,(IX+) AB IND 6E BIT 5,(IY+) 76 BIT 6,(IX+) AC OUTD 76 BIT 6,(IY+) 7E BIT 7,(IX+) B0 LDIR 7E BIT 7,(IY+) 86 RES 0,(IX+) B1 CPIR 86 RES 0,(IY+) 8E RES 1,(IX+) B2 INIR 8E RES 1,(IY+) 96 RES 2,(IX+) B3 OTIR 96 RES 2,(IY+) 9E RES 3,(IX+) B8 LR 9E RES 3,(IY+) A6 RES 4,(IX+) B9 CPDR A6 RES 4,(IY+) AE RES 5,(IX+) BA INDR AE RES 5,(IY+) B6 RES 6,(IX+) BB OTDR B6 RES 6,(IY+) BE RES 7,(IX+) BE RES 7,(IY+) C6 SET 0,(IX+) C6 SET 0,(IY+) CE SET 1,(IX+) CE SET 1,(IY+) D6 SET 2,(IX+) D6 SET 2,(IY+) DE SET 3,(IX+) DE SET 3,(IY+) E6 SET 4,(IX+) E6 SET 4,(IY+) EE SET 5,(IX+) EE SET 5,(IY+) F6 SET 6,(IX+) F6 SET 6,(IY+) FE SET 7,(IX+) FE SET 7,(IY+) E1 POP IX E1 POP IY E3 EX (SP),IX E3 EX (SP),IY E5 PUSH IX E5 PUSH IY E9 JP (IX) E9 JP (IY) F9 LD SP,IX F9 LD SP,IY

24 F. ASCII コード表 HEX1 HEX NUL DLE SP P p SOH DC1! 1 A Q a q STX DC2 " 2 B R b r ETX DC3 # 3 C S c s EOT DC4 $ 4 D T t ENQ NAK % 5 E U e u ACK SYN & 6 F V f v BEL ETB ' 7 G W g w BS CAN ( 8 H X h x HT EM ) 9 I Y i y LF SUB * : J Z j z VT ESC + ; K [ k { FF FS, < L \ l CR GS - = M ] m } SO RS. > N ^ ~ SI US /? O _ o DEL

25 G. 10 進 -16 進変換表 下位上位 A 0B 0C 0D 0E 0F A 1B 1C 1D 1E 1F A 2B 2C 2D 2E 2F A 3B 3C 3D 3E 3F A 4B 4C 4D 4E 4F A 5B 5C 5D 5E 5F A 6B 6C 6D 6E 6F A 7B 7C 7D 7E 7F A 8B 8C 8D 8E 8F A 9B 9C 9D 9E 9F A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 AA AB AC AD AE AF B0 B1 B2 B3 B4 B5 B6 B7 B8 B9 BA BB BC BD BE BF C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 CA CC CD CE CF D0 D1 D2 D3 D4 D5 D6 D7 D8 D9 DA DB DC DE DF E0 E1 E2 E3 E4 E5 E6 E7 E8 E9 EA EB EC EE EF F0 F1 F2 F3 F4 F5 F6 F7 F8 F9 FA FB FC FE FF 9

26 H. 16 進 -10 進変換表 上位下位 A B C D E F A B C D E F I. 10 進 -16 進 -2 進変換表 10 進 16 進 2 進 A 0B 0C 0D 0E 0F

27 Z-80 CORDING SHEET PROGRAM CORDER DATE PAGE / LINE No ARESS MACHINE CODE LABEL MNEMONIC OPERAND COMMENT Z-80 CORDING SHEET

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1

1 8 Z80 Z GBA ASIC 2 WINDOWS C 1 1 8 Z80 Z80 20 8080 GBA ASIC 2 WINDOWS C 1 2.1 Z-80 A 0 - A 15 CPU Z80 D 0- D 7 I/O Z80 1: 1 (1) CPU CPU Z80 CPU Z80 AND,OR,NOT, (2) CPU (3) I/O () Z80 (4) 2 Z80 I/O 16 16 A 0, A 1,, A 15 (5) Z80I/O 8

More information

ND80ZⅢ応用プログラムq

ND80ZⅢ応用プログラムq Z80 命令説明書 ( 有 ) 中日電工 目次 [ はじめに ] 1 Ⅰ. レジスタについて 1 Ⅱ. 8 ビット転送命令 1 1.LD, 1 2.LD,(HL) 1 3.LD (HL), 1 4.LD,n 1 5.LD (HL),n 1 6.LD A,(BC) 2 7.LD A,(DE) 2 8.LD A,(nn) 2 9.LD (BC),A 2 10. LD (DE),A 2 11. LD (nn),a

More information

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ

取扱説明書 -詳細版- 液晶プロジェクター CP-AW3019WNJ B A C D E F K I M L J H G N O Q P Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01 00 00 60 01 00 BE EF 03 06 00 19 D3 02 00

More information

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】

HITACHI 液晶プロジェクター CP-AX3505J/CP-AW3005J 取扱説明書 -詳細版- 【技術情報編】 B A C E D 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 H G I F J M N L K Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C LAN RS-232C LAN LAN BE EF 03 06 00 2A D3 01 00 00 60 00 00 BE EF 03 06 00 BA D2 01

More information

                 ZB11W取扱説明書

                 ZB11W取扱説明書 KL5C8012(Z80) 命令説明書 ( 有 ) 中日電工 目次 Ⅰ. レジスタについて 1 Ⅱ. 8 ビット転送命令 2 1.LD, 2 2.LD,(HL) 2 3.LD (HL), 2 4.LD,n 2 5.LD (HL),n 2 6.LD A,(BC) 2 7.LD A,(DE) 3 8.LD A,(nn) 3 9.LD (BC),A 3 10. LD (DE),A 3 11. LD (nn),a

More information

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語

HITACHI 液晶プロジェクター CP-EX301NJ/CP-EW301NJ 取扱説明書 -詳細版- 【技術情報編】 日本語 A B C D E F G H I 1 3 5 7 9 11 13 15 17 19 2 4 6 8 10 12 14 16 18 K L J Y CB/PB CR/PR COMPONENT VIDEO OUT RS-232C RS-232C RS-232C Cable (cross) LAN cable (CAT-5 or greater) LAN LAN LAN LAN RS-232C BE

More information

ディジタルシステム設計

ディジタルシステム設計 Z80 Z80 Z80 Z80 ROM RAM I/O 8255 8251 Z80PIO Z80CTC Z80SIO R C L Tr OP TTL MCB Z MC Z Z80 Z80 TMPZ84015BF KL5C8012 64180 H8 H8 PIC Microchip Technology PIC Z80 F A A' ALU B D H C E L IX IY SP PC C E L

More information

目次 [ はじめに ] 1 [1] レジスタについて 1 [2] 8ビット転送命令 1 1. MOV 1, MOV,M 2 3. MOV M, 2 4. MVI,B MVI M,B LDAX B 2 7. LDAX D 2 8. LDA NN 2 9. STAX

目次 [ はじめに ] 1 [1] レジスタについて 1 [2] 8ビット転送命令 1 1. MOV 1, MOV,M 2 3. MOV M, 2 4. MVI,B MVI M,B LDAX B 2 7. LDAX D 2 8. LDA NN 2 9. STAX ND80ZⅢ 8080 命令説明書 ( 有 ) 中日電工 目次 [ はじめに ] 1 [1] レジスタについて 1 [2] 8ビット転送命令 1 1. MOV 1,2 1 2. MOV,M 2 3. MOV M, 2 4. MVI,B2 2 5. MVI M,B2 2 6. LDAX B 2 7. LDAX D 2 8. LDA NN 2 9. STAX B 2 10. STAX D 2 11. STA

More information

Bar-Code_GUIDE

Bar-Code_GUIDE JPN 1 2 1 1-1 1-2 2 2-1 2-2 2-3 1 2 3 4 5 6 7 8 9 10 11 1 2 3 4 5 6 7 8 9 10 11 12 13 1 2 3 4 5 6 7 8 9 10 11 ' K ' X 5 T 3 O 0 1 2 3 4 K : N 01 23 1 2 3 4 5 6 7 8 9 10

More information

バーコードプリントガイド

バーコードプリントガイド CR-HIT/HIW/MEO/MEQ/MER/MET JPN 1 2 1 1-1 1-2 2 2-1 2-2 2-3 1 2 3 4 5 6 7 8 9 10 11 1 2 3 4 5 6 7 8 9 10 11 12 13 1 2 3 4 5 6 7 8 9 10 11 ' K ' X 5 T 3 O 0 1 2 3 4 K : N 01

More information

日立液晶プロジェクター CP-AW2519NJ 取扱説明書- 詳細版-

日立液晶プロジェクター CP-AW2519NJ 取扱説明書- 詳細版- PAGE UP DOWN D- ESC ENTER 1 1 2 2 3 COMPUTER IN1 USB TYPE A DC5V 0.5A USB TYPE B HDMI COMPUTER IN2 LAN CONTROL MONITOR OUT MIC AUDIO IN1 AUDIO IN3 AUDIO OUT R R L L S-VIDEO AUDIO IN2 VIDEO PAGE UP DOWN

More information

1/68 A. 電気所 ( 発電所, 変電所, 配電塔 ) における変圧器の空き容量一覧 平成 31 年 3 月 6 日現在 < 留意事項 > (1) 空容量は目安であり 系統接続の前には 接続検討のお申込みによる詳細検討が必要となります その結果 空容量が変更となる場合があります (2) 特に記載

1/68 A. 電気所 ( 発電所, 変電所, 配電塔 ) における変圧器の空き容量一覧 平成 31 年 3 月 6 日現在 < 留意事項 > (1) 空容量は目安であり 系統接続の前には 接続検討のお申込みによる詳細検討が必要となります その結果 空容量が変更となる場合があります (2) 特に記載 1/68 A. 電気所 ( 発電所, 変電所, 配電塔 ) における変圧器の空き容量一覧 平成 31 年 3 月 6 日現在 < 留意事項 > (1) 空容量は目安であり 系統接続の前には 接続検討のお申込みによる詳細検討が必要となります その結果 空容量が変更となる場合があります (2) 特に記載のない限り 熱容量を考慮した空き容量を記載しております その他の要因 ( 電圧や系統安定度など ) で連系制約が発生する場合があります

More information

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編

MS-1J/MS-1WJ(形名:MS-1/MS-1W)取扱説明書 - 詳細- 技術情報編 720 x 400 37.9 85.0 VESA TEXT 640 x 480 31.5 59.9 VESA VGA (60Hz) 640 x 480 37.9 72.8 VESA VGA (72Hz) 640 x 480 37.5 75.0 VESA VGA (75Hz) 640 x 480 43.3 85.0 VESA VGA (85Hz) 800 x 600 35.2 56.3 VESA SVGA

More information

H02_ROM_ indd

H02_ROM_ indd ESC/P ESC/P IBM 5557-H02 ESC/P ESC/P IBM 5557-H02 ESC/P VP5074 IBM 5579/5577/5573 ESC/P ESC/P ESC/P ESC/P IBM 5557-H02 ESC/P ESC/P ESC/P / CD ESC/P ESC/P 289 IBM 5557-H02 / ESC R ESC t ESC X ESC / ESC

More information

CP-X4021NJ,WX4021NJ_.indd

CP-X4021NJ,WX4021NJ_.indd ESC 1 2 ENTER PAGE UP DOWN ESC 1 2 ENTER PAGE UP DOWN PAGE UP 1 2 ENTER DOWN ESC ESC 1 2 ENTER PAGE UP DOWN 1 2 ESC ENTER PAGE UP DOWN 1 2 ESC ENTER PAGE UP DOWN ESC 1 2 ENTER PAGE UP DOWN

More information

000 001

000 001 all-round catalogue vol.2 000 001 002 003 AA0102 AA0201 AA0701 AA0801 artistic brushes AA0602 AB2701 AB2702 AB2703 AB2704 AA0301 AH3001 AH3011 AH3101 AH3201 AH3111 AB3201 AB3202 AB2601 AB2602 AB0701 artistic

More information

NAND FF,,

NAND FF,, 1. 1.1. NAND FF,, 1.2. 2. 1 3. アドレス ( 番地 ) 0 99 1 3 2 4 3 20 4 2 5 20 4. 8bit(0255) 7(3+4) 16 8 命令表 (0~255) コード内容 ( 機械語 ) ( 次の番地の内容 )+( 次の次の番地の内 99 容 ) の結果を次の次の次に書いてある番地に格納 2STOP A0A7, A8A15 D0D7 2 4.2.

More information

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2 " 2 B R b

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2  2 B R b I 4 003 4 30 1 ASCII ( ) 0 17 0 NUL 16 DLE SP 0 @ P 3 48 64 80 96 11 p 1 SOH 17 DC1! 1 A Q a 33 49 65 81 97 113 q STX 18 DC " B R b 34 50 66 8 98 114 r 3 ETX 19 DC3 # 3 C S c 35 51 67 83 99 115 s 4 EOT

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

空き容量一覧表(154kV以上)

空き容量一覧表(154kV以上) 1/3 A. 電気所 ( 発電所, 変電所, 配電塔 ) における変圧器の空き容量 覧 < 留意事項 > (1) 空容量は 安であり 系統接続の前には 接続検討のお申込みによる詳細検討が必要となります その結果 空容量が変更となる場合があります (2) 熱容量を考慮した空き容量を記載しております その他の要因 ( や系統安定度など ) で連系制約が発 する場合があります (3) 表 は 既に空容量がないため

More information

2/8 一次二次当該 42 AX 変圧器 なし 43 AY 変圧器 なし 44 BA 変圧器 なし 45 BB 変圧器 なし 46 BC 変圧器 なし

2/8 一次二次当該 42 AX 変圧器 なし 43 AY 変圧器 なし 44 BA 変圧器 なし 45 BB 変圧器 なし 46 BC 変圧器 なし 1/8 A. 電気所 ( 発電所, 変電所, 配電塔 ) における変圧器の空き容量一覧 < 留意事項 > (1) 空容量は目安であり 系統接続の前には 接続検討のお申込みによる詳細検討が必要となります その結果 空容量が変更となる場合があります (2) 特に記載のない限り 熱容量を考慮した空き容量を記載しております その他の要因 ( や系統安定度など ) で連系制約が発生する場合があります (3)

More information

Catalog No.AR006-e DIN EN ISO 9001 JIS Z 9901 Certificate: 販売終了

Catalog No.AR006-e DIN EN ISO 9001 JIS Z 9901 Certificate: 販売終了 Catalog No.AR006-e DIN EN ISO 9001 JIS Z 9901 Certificate:09 100 5919 DJ!0 DF DF @3 q w e 130 230 TR RA 0H R 130 230 RA TR R R RA 0.02MPa RA 130 230 130 230 R 0.06MPa RA 0.15MPa q R #1 TR #6 I N D E X

More information

PPS40511.PDF

PPS40511.PDF IBM Infoprint 1000J IBM PAGES ( CODE128 / QR CODE / PDF417 ) Rev. 1.1 2004/06/17-1 - 1. (ESX40) 3 2. ESX42 7 Code128 8 13 PDF417 15 IBM Infoprint 1000J (IP1316J, IP1336J, IP1356J) IBM PAGES IBM PC CODE128,

More information

() () () () () 175 () Tel Fax

() () () () () 175 () Tel Fax JPCA-PE04-02-01-02-01S JPCA PE04-02-01-02-01S 2005 () () () () () 175 () 167-0042 3122 2 Tel 03-5310-2020Fax 03-5310-2021e-mailstd@jpca.org Detail Specification for PT Optical Module 1 PT PT 12 Optoelectronic

More information

欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 7 月 31 日 CPC 版が発効します 原文及び詳細はCPCホームページのCPC Revision

欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 7 月 31 日 CPC 版が発効します 原文及び詳細はCPCホームページのCPC Revision 欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 7 月 31 日 CPC 2019.08 版が発効します 原文及び詳細はCPCホームページのCPC Revisions(CPCの改訂 ) をご覧ください https://www.cooperativepatentclassification.org/cpcrevisions/noticeofchanges.html

More information

基礎情報処理 I (文字型)

基礎情報処理 I (文字型) プログラミング 1 ( 文字型 ) program character1; a,b,c: char; writeln('1 文字づつ3 文字入力してください :'); readln(a); readln(b); readln(c); write(a); write(b); write(c); writeln; a,b,c:char; a:='a'; b:='b'; c:='c'; write(a);

More information

補足情報

補足情報 1 危 険 警 告 注 意 2 3 4 5 6 7 8 1 2 3 4 5 9 6 7 8 9 10 10 1 2 11 1 12 1 2 13 3 4 14 1 2 15 3 4 5 16 1 2 3 17 1 2 3 4 18 19 20 21 22 23 1 2 3 4 5 24 6 7 8 9 10 25 26 27 28 6 1 2 7 8 9 3 4 5 29 1 2 警 告 3 4 5

More information

学習の手順

学習の手順 NAVI 2 MAP 3 ABCD EFGH D F ABCD EFGH CD EH A ABC A BC AD ABC DBA BC//DE x 4 a //b // c x BC//DE EC AD//EF//BC x y AD DB AE EC DE//BC 5 D E AB AC BC 12cm DE 10 AP=PB=BR AQ=CQ BS CS 11 ABCD 1 C AB M BD P

More information

FCシリンダ

FCシリンダ CAT. No. KS-570-01 C ujikura cylinder INDEX Page CS - - -22 CS - -3 - CD - -3 - CS -40-0 -4 CD -40-0 -4 CS - -20-3 CD - -20-3 CL-400 VCS CDR -400 1 ujikura Cylinders 2 3 4 C 0 3 0.0.7 00 CD 0 4 S0 P CS

More information

A7ECG圧縮Z80program.doc

A7ECG圧縮Z80program.doc A.7 A.7.1 4.5 Z80CPU Z80 XA-80 ROM ICE(In-Circuit Emulator Z-Vision Pro A/D A/D CPU 4ms CPU 1 1 200s RS-232C PC A.7.2 list.a.7.1 A/D List.A.7.2 list.a.7.1 include List.A.7.1 bank10.asm ECG INCLUDE "DEFIO.ASM"

More information

2001 Mg-Zn-Y LPSO(Long Period Stacking Order) Mg,,,. LPSO ( ), Mg, Zn,Y. Mg Zn, Y fcc( ) L1 2. LPSO Mg,., Mg L1 2, Zn,Y,, Y.,, Zn, Y Mg. Zn,Y., 926, 1

2001 Mg-Zn-Y LPSO(Long Period Stacking Order) Mg,,,. LPSO ( ), Mg, Zn,Y. Mg Zn, Y fcc( ) L1 2. LPSO Mg,., Mg L1 2, Zn,Y,, Y.,, Zn, Y Mg. Zn,Y., 926, 1 Mg-LPSO 2566 2016 3 2001 Mg-Zn-Y LPSO(Long Period Stacking Order) Mg,,,. LPSO ( ), Mg, Zn,Y. Mg Zn, Y fcc( ) L1 2. LPSO Mg,., Mg L1 2, Zn,Y,, Y.,, Zn, Y Mg. Zn,Y., 926, 1 1,.,,., 1 C 8, 2 A 9.., Zn,Y,.

More information

4 Mule(Emacs)

4 Mule(Emacs) 文字コードとは 文字コードとコード系 character code コンピュータ内部での表現の際あるいはコンピュータ間の通信の際には 文字や記号はある一定のビットパターン (bit pattern, 0,1の列 ) で表されている このような 文字とビットパターンの対応を文字コードという 広く使われている文字コードと文字コード系 ( 文字コードをどのように使うかも含めて規定したコード体系のこと )

More information

PROSTAGE[プロステージ]

PROSTAGE[プロステージ] PROSTAGE & L 2 3200 650 2078 Storage system Panel system 3 esk system 2 250 22 01 125 1 2013-2014 esk System 2 L4OA V 01 2 L V L V OA 4 3240 32 2 7 4 OA P202 MG55 MG57 MG56 MJ58 MG45 MG55 MB95 Z712 MG57

More information

007 0 ue ue 6 67 090 b 6666 D 666 0 6 6 0 0 0 4 0 6 7 6 6706 00000 00000 69 000040 000040 0040 0040 000040 000040 0040 0040 674 00000 70 00000 0 00000

007 0 ue ue 6 67 090 b 6666 D 666 0 6 6 0 0 0 4 0 6 7 6 6706 00000 00000 69 000040 000040 0040 0040 000040 000040 0040 0040 674 00000 70 00000 0 00000 EDOGAWA ITY Y @ Y 60 7 66997 00 00 00 00 600 000 000 4900 900 700 000 f 004000 00 000 7f 70g 0 0 007 0 ue ue 6 67 090 b 6666 D 666 0 6 6 0 0 0 4 0 6 7 6 6706 00000 00000 69 000040 000040 0040 0040 000040

More information

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D

II ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 D II 8 2003 11 12 1 6 ( ) prog8-1.c s1542h017%./prog8-1 1 => 35 Hiroshi 2 => 23 Koji 3 => 67 Satoshi 4 => 87 Junko 5 => 64 Ichiro 6 => 89 Mari 7 => 73 Daisuke 8 =>. 73 Daisuke 35 Hiroshi 64 Ichiro 87 Junko

More information

¥ƥ­¥¹¥ȥ¨¥ǥ£¥¿¤λȤ¤˽

¥ƥ­¥¹¥ȥ¨¥ǥ£¥¿¤λȤ¤˽ : 2010 2 14 1 MS Word.doc (MS Word 2003 ).docx (MS Word 2007 ) Word Windows.txt MS Word Word Word Word Excel Word 1 Word Word Word MS Word MS Word MS Word Word Windows MS Word MS Word Word Windows.txt

More information

取扱説明書<詳細版>

取扱説明書<詳細版> B5FK-4681-01 1 2 3 4 5 6 7 8 危 険 警 告 注 意 9 10 11 警 告 注 意 12 警 告 13 注 意 14 注 意 警 告 警 告 15 注 意 注 意 16 17 18 19 20 21 22 23 1 24 2 25 26 27 28 1 2 3 4 29 5 30 6 7 8 31 9 10 32 11 33 12 13 34 14 35 15 16

More information

6.1号4c-03

6.1号4c-03 6.1 0 1 1 1 1 BF 1 C DB C 1* F E C 1 F 1 E C 1 E D 1 D 1 BF C G 1 DF 1 E 1 BF 1 BF 1 BF 1 BG 1 BG 1 BG 1 BF 1 BG 1 E 1 D F BF 1 BF 1 F 1 BF 1 F C 1 d 0 1 A 0 1 14 A G 0 1 A 1 G 0 1 1 1 E A 01 B 1 1 1 1

More information

A B 5 C 9 3.4 7 mm, 89 mm 7/89 = 3.4. π 3 6 π 6 6 = 6 π > 6, π > 3 : π > 3

A B 5 C 9 3.4 7 mm, 89 mm 7/89 = 3.4. π 3 6 π 6 6 = 6 π > 6, π > 3 : π > 3 π 9 3 7 4. π 3................................................. 3.3........................ 3.4 π.................... 4.5..................... 4 7...................... 7..................... 9 3 3. p

More information

A-GAGE High - Resolution MINI ARRAY Instruction Manual Printed in Japan J20005M

A-GAGE High - Resolution MINI ARRAY Instruction Manual     Printed in Japan J20005M A-GAGE High - Resolution MINI ARRAY Instruction Manual E-mail : mail@bannerengineering.co.jp http://www.bannerengineering.com Printed in Japan J20005M4 page 2 page 3 page 4 page 5 page 6 page 7 page 8

More information

SIRIUS_CS3*.indd

SIRIUS_CS3*.indd SIRIUS Innovations SIRIUS SIRIUS Answers for industry. SIRIUS SIRIUS S00 S0 SIRIUS SIRIUS ZX0-ORAZ-0AB0 7.5kW 6 S00 7 8 7.5kW 9 S00 0 8.5kW S0 8.5kW S0 5 6 7 IO-Link AS-InterfaceRT 8 8US 5 6 SIRIUS SIRIUS

More information

Microsoft Word - マイコン実験 doc

Microsoft Word - マイコン実験 doc Ⅲ-2. マイクロコンピュータの実験 - 1 1. 目的 (1) 基本的なマイクロコンピュ-タの構成を学ぶとともに 各部の機能を理解する (2) マイクロコンピュ-タの機械語プログラムの理解を深める 2. マイクロコンピュ-タの動作図 1は 8ビットマイクロプロセッサ Z80 を用いたマイクロコンピュ-タシステムのブロック図である 以下に このマイクロコンピュ-タを例にとり 各部の動作を説明する 記憶部

More information

( )

( ) 18 10 01 ( ) 1 2018 4 1.1 2018............................... 4 1.2 2018......................... 5 2 2017 7 2.1 2017............................... 7 2.2 2017......................... 8 3 2016 9 3.1 2016...............................

More information

HyRAL®FPGA設計仕様書

HyRAL®FPGA設計仕様書 HyRAL Encryption FPGA HyRAL FPGA 2009/12/ 13 2 2010/01/11 3. FPGA 3.1. Const1, 2,3 3.3.ciphergen 3.3.6. 3.4. Decrypt 4 3 2010/01/26 1. i 1.... 1 2.... 1 2.1. FPGA... 1 2.2.... 1 2.3.... 1 2.4. IP... 1

More information

, ,279 w

, ,279 w No.482 DEC. 200315 14 1754,406 100.0 2160,279 w 100 90 80 70 60 50 40 30 20 10 28.9 23.8 25.0 19.3 30.4 25.0 29.5 80.7 75.0 75.0 70.5 71.1 69.6 76.2 7 8 9 10 11 12 13 23.2 76.8 14 14 1751,189 100.0 2156,574

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

‚å™J‚å−w“LŁñfi~P01†`08

‚å™J‚å−w“LŁñfi~P01†`08 156 2003 2 3 4 5 6 7 8 9 c f c a g 10 d c d 11 e a d 12 a g e 13 d fg f 14 g e 15 16 17 18 19 20 21 db de de fg fg g gf b eb g a a e e cf b db 22 d b e ag dc dc ed gf cb f f e b d ef 23 f fb ed e g gf

More information

untitled

untitled 2004845 PKIUTF8String Part1: UTF8String UTF8String PKI UTF8String UTF8String 2 (1) ( ) A, ü, [ ] [ ] ASCII JIS X2013 Unicode(ISO 10646) ( )( ) Unicode A U+0041 U+007F 3 (2) u ü ( )( ) ( )(

More information

DS-3300 プログラミングマニュアル 設定項目 ページ 初期値設定マップ 1-2 ファームウェアバージョン情報 2 システム設定 3 読取機能設定 4 インターフェース設定 5 バーコード規格別読取設定 6-7 チェックデジット設定 8 読取桁数設定 9 キャラクタ付加設定 10 数字バーコード

DS-3300 プログラミングマニュアル 設定項目 ページ 初期値設定マップ 1-2 ファームウェアバージョン情報 2 システム設定 3 読取機能設定 4 インターフェース設定 5 バーコード規格別読取設定 6-7 チェックデジット設定 8 読取桁数設定 9 キャラクタ付加設定 10 数字バーコード DS-3300 プログラミングマニュアル 設定項目 ページ 初期値設定マップ 1-2 ファームウェアバージョン情報 2 システム設定 3 読取機能設定 4 インターフェース設定 5 バーコード規格別読取設定 6-7 チェックデジット設定 8 読取桁数設定 9 キャラクタ付加設定 10 数字バーコード 11 シンボルテーブル 12 アスキーテーブル 13 ファンクションキーテーブル 14 フルアスキーテーブル

More information

untitled

untitled 5 28 EAR CCLECCN ECCN 1. 2. 3. 4. 5.EAR page 1 of 28 WWW.Agilent.co.jp -> Q&A ECCN 10020A 10070A 10070B 10070C 10071A 10071B 10072A 10073A 10073B 10073C 10074A 10074B 10074C 10076A 10229A 10240B 10430A

More information

あさひ indd

あさひ indd 2006. 0. 2 2006. 0. 4 30 8 70 2 65 65 40 65 62 300 2006. 0. 3 7 702 22 7 62802 7 385 50 7 385 50 8 385 50 0 2 390 526 4 2006. 0. 0 0 0 62 55 57 68 0 80 5000 24600 37200 0 70 267000 500000 600 2 70 70 267000

More information

05‚å™J“LŁñfi~P01-06_12/27

05‚å™J“LŁñfi~P01-06_12/27 2005 164 FFFFFFFFF FFFFFFFFF 2 3 4 5 6 7 8 g a 9 f a 10 g e g 11 f g g 12 a g g 1 13 d d f f d 14 a 15 16 17 18 r r 19 20 21 ce eb c b c bd c bd c e c gf cb ed ed fe ed g b cd c b 22 bc ff bf f c f cg

More information

76 3 B m n AB P m n AP : PB = m : n A P B P AB m : n m < n n AB Q Q m A B AQ : QB = m : n (m n) m > n m n Q AB m : n A B Q P AB Q AB 3. 3 A(1) B(3) C(

76 3 B m n AB P m n AP : PB = m : n A P B P AB m : n m < n n AB Q Q m A B AQ : QB = m : n (m n) m > n m n Q AB m : n A B Q P AB Q AB 3. 3 A(1) B(3) C( 3 3.1 3.1.1 1 1 A P a 1 a P a P P(a) a P(a) a P(a) a a 0 a = a a < 0 a = a a < b a > b A a b a B b B b a b A a 3.1 A() B(5) AB = 5 = 3 A(3) B(1) AB = 3 1 = A(a) B(b) AB AB = b a 3.1 (1) A(6) B(1) () A(

More information

‚å™J‚å−w“LŁñ›Ä

‚å™J‚å−w“LŁñ›Ä 2007 172 FFFFFFFFF FFFFFFFFF 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 c d e cc bd b fb ag ag ed ed ed bd b b ef bf f df bd f bff d D f F d f 19 bd 20 21 F C e e f b b b 22 d d e f e f bf bd 23 24 222222222222222222222222222222222222222222222222222222222222222222222222

More information

Z 2 10Z MPa MPa MPa MPa 1.5MPa s s s JIS 6g/6H SD SD/B LB LS

Z 2 10Z MPa MPa MPa MPa 1.5MPa s s s JIS 6g/6H SD SD/B LB LS 3 03 0.01MPa 0.1MPa 0.1MPa 0.01MPa 1.MPa 0s 0s 0s JIS g/h SDSD/BLB LS0 F FBTT/BTB TB/BCUCU/B SDLCFTT/B TCTC/BDBD SDSD/BLB LS0 F FBTT/BTB TB/BCUCU/B SDLBFTT/B SKSPLK LP FKFP SKSPLK LP FKFP TKTPDPBDBP TKTPDPBDBP

More information

欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 1 月 17 日 CPC 版のプレ リリースが公開されました 原文及び詳細はCPCホームページの C

欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 1 月 17 日 CPC 版のプレ リリースが公開されました 原文及び詳細はCPCホームページの C 欧州特許庁米国特許商標庁との共通特許分類 CPC (Cooperative Patent Classification) 日本パテントデータサービス ( 株 ) 国際部 2019 年 1 月 17 日 CPC 2019.02 版のプレ リリースが公開されました 原文及び詳細はCPCホームページの CPC Revisions(CPCの改訂 ) 内のPre-releaseをご覧ください http://www.cooperativepatentclassification.org/cpcrevisions/prereleases.html

More information

油圧1.indd

油圧1.indd 4 2 ff f f f f f f f f f f f f ff ff ff r f ff ff ff ff ff ff R R 6 7 φφ φφ φφ φ φ φφ φφφφφφφφ φφφφ φφφφ φφ! φ f f f f f f f f f f 9 f φ φ φ φ φ φ φ φ φφ φφ φ φ φ φ SD f f f KK MM S SL VD W Y KK MM S SL

More information

C-1 210C f f f f f f f f f f f f f f f f f f f f r f f f f f f f f f f f f f R R

C-1 210C f f f f f f f f f f f f f f f f f f f f r f f f f f f f f f f f f f R R 4 5 ff f f f f f f f f f f f f ff ff ff r f ff ff ff ff ff ff R R 7 b b ï φφ φφ φφ φ φ φφ φφφφφφφφ φφφφ φφφφ ù û û Æ φ ñ ó ò ô ö õ φφ! b ü ú ù û ü ß μ f f f f f f f f f f b b b z x c n 9 f φ φ φ φ φ φ

More information

2018_yearbook_ indd

2018_yearbook_ indd JAPAN CENTURY SYMPHONY ORCHESTRA YEAR BOOK 2018-19 Passion to 30 th Japan Century Symphony Orchestra Takeo Mizuno 1 YEAR BOOK 2018 2 3 5 6 7 9 19 21 25 27 29 32 33 35 36 37 41 43 45 YEAR BOOK 2018 4 Norichika

More information

70 : 20 : A B (20 ) (30 ) 50 1

70 : 20 : A B (20 ) (30 ) 50 1 70 : 0 : A B (0 ) (30 ) 50 1 1 4 1.1................................................ 5 1. A............................................... 6 1.3 B............................................... 7 8.1 A...............................................

More information

17 18 2

17 18 2 17 18 2 18 2 8 17 4 1 8 1 2 16 16 4 1 17 3 31 16 2 1 2 3 17 6 16 18 1 11 4 1 5 21 26 2 6 37 43 11 58 69 5 252 28 3 1 1 3 1 3 2 3 3 4 4 4 5 5 6 5 2 6 1 6 2 16 28 3 29 3 30 30 1 30 2 32 3 36 4 38 5 43 6

More information

さくらの個別指導 ( さくら教育研究所 ) A 2 P Q 3 R S T R S T P Q ( ) ( ) m n m n m n n n

さくらの個別指導 ( さくら教育研究所 ) A 2 P Q 3 R S T R S T P Q ( ) ( ) m n m n m n n n 1 1.1 1.1.1 A 2 P Q 3 R S T R S T P 80 50 60 Q 90 40 70 80 50 60 90 40 70 8 5 6 1 1 2 9 4 7 2 1 2 3 1 2 m n m n m n n n n 1.1 8 5 6 9 4 7 2 6 0 8 2 3 2 2 2 1 2 1 1.1 2 4 7 1 1 3 7 5 2 3 5 0 3 4 1 6 9 1

More information

PLC HMI High flexibility Simple networking Easy to use 190 HMI 2

PLC HMI High flexibility Simple networking Easy to use 190 HMI 2 PLC HMI High flexibility Simple networking Easy to use 190 HMI 2 Contents 4 11 14 15 3 SIMATIC PLC190 24 S7-1200/ S7-1200 S7-1200 I/OCPU ROM SIMATIC S7-1200PLC 4 S7-1200 CPU 100Mbps HMI-PLCPC-PLCPLC16

More information

O E ( ) A a A A(a) O ( ) (1) O O () 467

O E ( ) A a A A(a) O ( ) (1) O O () 467 1 1.0 16 1 ( 1 1 ) 1 466 1.1 1.1.1 4 O E ( ) A a A A(a) O ( ) (1) O O () 467 ( ) A(a) O A 0 a x ( ) A(3), B( ), C 1, D( 5) DB C A x 5 4 3 1 0 1 3 4 5 16 A(1), B( 3) A(a) B(b) d ( ) A(a) B(b) d AB d = d(a,

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

05秋案内.indd

05秋案内.indd 1 2 3 4 5 6 7 R01a U01a Q01a L01a M01b - M03b Y01a R02a U02a Q02a L02a M04b - M06b Y02a R03a U03a Q03a L03a M08a Y03a R04a U04a Q04a L04a M09a Y04a A01a L05b, L07b, R05a U05a Q05a M10a Y05b - Y07b L08b

More information

10

10 2: http://www7.bpe.es.osaka-u.ac.jp/~kota/classes/jse.html kota@fbs.osaka-u.ac.jp 10 : 0 1 2 n 2 n 0 1 1 0 1 0 0 1 (2) = 105 1 = 8 1 2 8 = 256 0 9 105 i 106 j 256 2 1 #include int main(void)

More information

型番 USB :F830-U RS232C:F830-R 組込み式 2 次元コードリーダー F830 詳細設定マニュアル Ver1.0 1

型番 USB :F830-U RS232C:F830-R 組込み式 2 次元コードリーダー F830 詳細設定マニュアル Ver1.0 1 型番 USB :F830-U RS232C:F830-R 組込み式 2 次元コードリーダー F830 詳細設定マニュアル Ver1.0 1 改定履歴 Ver 発行日改定履歴 1.0 2019/05/14 初版発行 FW:D_HEM_V1.69_Tjp_H03_D4_CG8_LLJ1_BL14_M0A 2 目次 1. 同梱品とトリガーボタンの説明... 5 1.1 同梱品... 5 1.2 トリガーボタン...

More information

MS240 JISⅡ半角カナ文字データを含むカード読み取り設定例

MS240 JISⅡ半角カナ文字データを含むカード読み取り設定例 MS240 磁気ストライプストライプカードリーカードリーダ JISⅡ 半角カナカナ文字文字データデータを含むカードカード読み取り設定例 ユニテック ジャパン株式会社 Ver1.0 目次 1. JIS-II 半角カタカナをデータに含む磁気カードについて...3 1.1. JIS-II カード規格とは...3 1.2. 半角カタカナデータを含む JIS-II コードの仕組み...3 1.3 半角カタカナデータを含む

More information

Microsoft Word - 5J0080_EAN-128MenuBook_v023.doc

Microsoft Word - 5J0080_EAN-128MenuBook_v023.doc 目 次 1. はじめに 2 2.UCC/EAN-128 概要 2 3. 仕様概要 3 4. 設定方法 6 5. オプション設定 12 6. 出力モード 1 用アプリケーション識別子メニュー 14 7. 直接入力 23 本書の内容につきましては 万全を期して作成いたしましたが 万一ご不審の点やお気づきの点がございましたら 弊社営業部までご連絡ください 本書の一部または全部を無断で複製することは禁止されております

More information

Microsoft Word - Sample_CQS-Report_English_backslant.doc

Microsoft Word - Sample_CQS-Report_English_backslant.doc ***** Corporation ANSI C compiler test system System test report 2005/11/16 Japan Novel Corporation *****V43/NQP-DS-501-1 Contents Contents......2 1. Evaluated compiler......3 1.1. smp-compiler compiler...3

More information

熊本県数学問題正解

熊本県数学問題正解 00 y O x Typed by L A TEX ε ( ) (00 ) 5 4 4 ( ) http://www.ocn.ne.jp/ oboetene/plan/. ( ) (009 ) ( ).. http://www.ocn.ne.jp/ oboetene/plan/eng.html 8 i i..................................... ( )0... (

More information

TLCS-870/C1シリーズ命令セット

TLCS-870/C1シリーズ命令セット TLCS-87/C シリーズ 命令セット セミコンダクター社 Page 2 TLCS-87/C TLCS-87/C 第 章 概要 TLCS-87/C シリーズの基本機械命令は 33 種 732 命令で 下表に命令の分類を示します TLCS-87/ C シリーズには バイト長から最長 5 バイト長の命令があります 使用頻度の高い命令は オブジェクトコードを短くしており メモリ効率の良いプログラムを組むことができます

More information

TLCS-870/C1シリーズ CPU

TLCS-870/C1シリーズ CPU シリーズ CPU セミコンダクター社 CMOS 8 ビットマイクロコンピュータ TLCS-870/C1 シリーズ TLCS-870/C1 シリーズは 東芝オリジナルのコンパクトで高速 / 高機能, 低消費電力の 8 ビットシングルチップマイクロコンピュータです 特長 直交性のある豊富な命令セット : 133 種 732 命令東芝オリジナルマイクロコントローラ TLCS-870/C とバイナリレベルでのコンパチビリティを持たせており

More information

iii 1 1 1 1................................ 1 2.......................... 3 3.............................. 5 4................................ 7 5................................ 9 6............................

More information

主記憶の使われ方 システム領域 SP スタックポインタ システム用 スタック用 プログラム起動時に OS によって確 保される (SP が決められる ) プログラム用 メインルーチン プログラム領域 命令コードの列定数 変数用領域サブルーチン命令コードの列 先頭番地は リンク時に OS によって決め

主記憶の使われ方 システム領域 SP スタックポインタ システム用 スタック用 プログラム起動時に OS によって確 保される (SP が決められる ) プログラム用 メインルーチン プログラム領域 命令コードの列定数 変数用領域サブルーチン命令コードの列 先頭番地は リンク時に OS によって決め Copyright 守屋悦朗 2005 コンピュータの仕組み (2) ソフトウェア 3.3 アセンブラプログラミング (CASLⅡ) 情報処理技術者試験基本情報技術者試験 (http://www.jitec.jp/index.html) では 仮想コンピュータ (16ビットのワードマシン 主記憶容量 64KW)COMETⅡを定義し COMETⅡ のためのアセンブリ言語 CASLⅡを定めている COMETⅡとCASLⅡの仕様は情報処理技術者試験センターのウェブサイト

More information

1122 1015 1 Voices 11 11 1 1 1 1 1 1 7 3 4 3 4 3 4 1 1 1 1 1 e 1 f dd 1 d 1 1 1 1 de 1 f 1 d b b bb ef f bb 1 1 882-1111 882-1160 1 1 a 6 1 1 1 f 1 1 c 1 f 1 1 f 1 cf 1 bf 1 1 1 1 a 1 g 1 g 1 af g 1 11

More information

Microsoft PowerPoint - ProcML-12-3.ppt

Microsoft PowerPoint - ProcML-12-3.ppt プロセッサと 年次前次前期 ( 第 回 ) 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow か? () + + () + + 答 答 中島克人 情報メディア学科 nakajima@im.dendai.ac.jp () - = + + 答 進数の加減算 (overflow( overflow) 演習 次の ビット演算の結果は overflow

More information

データロジックスキャニング株式会社

データロジックスキャニング株式会社 QuickScan L Bar Code Scanner 7-13-5 DK 2 QuickScanL ...5...5 RS232...5...6 USB...6...7 LED...7...8...8...8...9...9...10...10 ID... 11 Prefix/Suffix... 11 Global Prefix/Suffix...12 RS-232...13...13...14...14...14...15...15...16...17

More information

Microsoft Word - HW06K doc

Microsoft Word - HW06K doc 完了した CP:1~19( 合計 19 個 ) 未達成の CP:20 [ 要旨 目的 ] CPU の製作を行う CPU の製作を通じて ハードウェア設計の流れを理解する CPU の構造について 理解を深める CPU 製作第 3 回の実験では 最終的なCPUの完成を目指す [ 原理 理論 ] まずは CPU の構造設計から行う 全体の構成は次のようになる 下の図では モニター回路は含まれない chattering

More information

04年度LS民法Ⅰ教材改訂版.PDF

04年度LS民法Ⅰ教材改訂版.PDF ?? A AB A B C AB A B A B A B A A B A 98 A B A B A B A B B A A B AB AB A B A BB A B A B A B A B A B A AB A B B A B AB A A C AB A C A A B A B B A B A B B A B A B B A B A B A B A B A B A B A B

More information

1. 2 P 2 (x, y) 2 x y (0, 0) R 2 = {(x, y) x, y R} x, y R P = (x, y) O = (0, 0) OP ( ) OP x x, y y ( ) x v = y ( ) x 2 1 v = P = (x, y) y ( x y ) 2 (x

1. 2 P 2 (x, y) 2 x y (0, 0) R 2 = {(x, y) x, y R} x, y R P = (x, y) O = (0, 0) OP ( ) OP x x, y y ( ) x v = y ( ) x 2 1 v = P = (x, y) y ( x y ) 2 (x . P (, (0, 0 R {(,, R}, R P (, O (0, 0 OP OP, v v P (, ( (, (, { R, R} v (, (, (,, z 3 w z R 3,, z R z n R n.,..., n R n n w, t w ( z z Ke Words:. A P 3 0 B P 0 a. A P b B P 3. A π/90 B a + b c π/ 3. +

More information

IND EX 4 6 q 10 w B B B B2000Z B2000Z-RM 45 B2000Z-MH B B B B B1094 6

IND EX 4 6 q 10 w B B B B2000Z B2000Z-RM 45 B2000Z-MH B B B B B1094 6 Catalog No.V3131 DIN EN ISO 9001 JIS Z 9901 Certificate:09 100 5919 TUV œœrheinland / Berlin Brandenburg Anlagentechnik GmbH ISO 14001, JIS Q 14001 Certificate-No.: 09 104 8118 IND EX 4 6 q 10 w 12 14

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 7 週命令セットアーキテクチャ ( 命令の表現 命令の実行の仕組 ) 2013 年 11 月 6 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現

More information

h1-4_cs5.5.indd

h1-4_cs5.5.indd SIMATIC HMI SIMATIC HMI SIMATIC HMI Comfort Panel All-in-One SIMATIC Comfort Panel all-in-one 4 22 1677 0 100% IP65 CEULKCRCMATEX HMI VB HMI ATEX 4 12 SIMATIC HMI Basic Panel 2 nd Generation SIMATIC Basic

More information

取扱説明書<詳細版>

取扱説明書<詳細版> B5FK-3441-01 1 2 3 4 5 6 7 8 危 険 警 告 注 意 9 10 11 警 告 12 警 告 注 意 13 14 15 注 意 警 告 16 注 意 警 告 17 警 告 注 意 注 意 18 19 20 21 22 23 1 2 3 24 4 1 2 3 25 4 5 26 6 7 27 8 9 28 10 29 11 12 30 31 13 14 32 15 33 16

More information

21 1 1 1 2 2 5 7 9 11 13 13 14 18 18 20 28 28 29 31 31 34 35 35 36 37 37 38 39 40 56 66 74 89 99 - ------ ------ -------------- ---------------- 1 10 2-2 8 5 26 ( ) 15 3 4 19 62 2,000 26 26 5 3 30 1 13

More information

[ ] Table

[ ] Table [] Te P AP OP [] OP c r de,,,, ' ' ' ' de,, c,, c, c ',, c mc ' ' m' c ' m m' OP OP p p p ( t p t p m ( m c e cd d e e c OP s( OP t( P s s t (, e e s t s 5 OP 5 5 s t t 5 OP ( 5 5 5 OAP ABP OBP ,, OP t(

More information

<93FA97A AC C837288EA97972E786C7378>

<93FA97A AC C837288EA97972E786C7378> 日立ブラウン管テレビ一覧 F-500 SF-100 FMB-300 FMB-490 FMB-790 FMB-290 SMB-300 FMB-310G FMB-780 FMY-480 FMY-110 TOMY-100 FMY-520 FMY-320G SMY-110 FMY-510 SMY-490 FMY-770 FY-470 TSY-120 FY-340G FY-280 FY-450 SY-330

More information

しんきんの現況H30.PS

しんきんの現況H30.PS テ ィスクローシ ャー 2018 氷見伏木しんきんの現況 > くらしのとなりに いつもしんきん氷見伏木信用金庫 http://www.shinkin.co.jp/himifusi/ ab cdef a a a å æ б d d de aef д aef aef ф aef ф aef aef a dfb d ff ab cdeb f å a a a c

More information

高校生の就職への数学II

高校生の就職への数学II II O Tped b L A TEX ε . II. 3. 4. 5. http://www.ocn.ne.jp/ oboetene/plan/ 7 9 i .......................................................................................... 3..3...............................

More information

FMV活用ガイド

FMV活用ガイド B5FJ-8721-01 トラブルの予防から解決まで B5FJ-8721-01 4 5 6 7 8 10 1 11 1 12 2 1. Floppy Disk Drive: 2. HDD0: 3. CD/DVD: 4. NETWORK: 5. USB MO: 3 4 5 13 6 14 16 17 18 19 20 1 2 3 21 4 5 6 7 22 8 9 10 11 12 23 24

More information

IMO 1 n, 21n n (x + 2x 1) + (x 2x 1) = A, x, (a) A = 2, (b) A = 1, (c) A = 2?, 3 a, b, c cos x a cos 2 x + b cos x + c = 0 cos 2x a

IMO 1 n, 21n n (x + 2x 1) + (x 2x 1) = A, x, (a) A = 2, (b) A = 1, (c) A = 2?, 3 a, b, c cos x a cos 2 x + b cos x + c = 0 cos 2x a 1 40 (1959 1999 ) (IMO) 41 (2000 ) WEB 1 1959 1 IMO 1 n, 21n + 4 13n + 3 2 (x + 2x 1) + (x 2x 1) = A, x, (a) A = 2, (b) A = 1, (c) A = 2?, 3 a, b, c cos x a cos 2 x + b cos x + c = 0 cos 2x a = 4, b =

More information

PSCHG000.PS

PSCHG000.PS a b c a ac bc ab bc a b c a c a b bc a b c a ac bc ab bc a b c a ac bc ab bc a b c a ac bc ab bc de df d d d d df d d d d d d d a a b c a b b a b c a b c b a a a a b a b a

More information

1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A

1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A 2 2010 11 8 0 9 5 7 0 7 B 2010 11 15 095701B 095703J 1 ( ) 2 D-A D-A KUE-CHIP2 KUE-CHIP2 KUE-CHIPP2 KUE-CHIP2 3 3.1 (1) 2.2 D-A KUE-CHIP2 2.1 KUE-CHIP D-A 2.11 1 3.2 (2) () () 3.2.1 (a) 1. 1 1: () 00 C0

More information

hyousi

hyousi GS- ~ GS-0 () ACE ACE ACE ACE ACE! : ACE : : - C 0 C : 9 9 4 R4.3 GS- () N 0 N 4 Ø 3 L+/- 2 4 A3, A3, B3, M3.x0. B3, C3, GS-- 2 GS-- 92 GS-- 1 C3,. GS--0 0 132 GS--0 0 12 N GS--0 0 192 M4x0. D3, 3 GS---AC-N

More information

31 33

31 33 17 3 31 33 36 38 42 45 47 50 52 54 57 60 74 80 82 88 89 92 98 101 104 106 94 1 252 37 1 2 2 1 252 38 1 15 3 16 6 24 17 2 10 252 29 15 21 20 15 4 15 467,555 14 11 25 15 1 6 15 5 ( ) 41 2 634 640 1 5 252

More information

Barcode Setup Manual

Barcode Setup Manual 2016/04/Ver 1.2 目 次 セットアップ フローチャート... 4 PS800Z 初 期 設 定... 5 Part I システム 情 報... 6 ファームウェアバージョン... 6 Part II キーボードウェッジパラメーター... 7 2.1 ターミナルタイプ... 7 2.2 言 語 選 択... 7 2.3 ファンクションキー エミュレーション... 8 2.4 ALT モード...

More information

0 1

0 1 9 - -R -V -K - -V - -V 3 0 3 3 3 001 0 001 00 0 00 1 0 11 1 0 11 10 00 0 10 00 0 0 1 3 F X1CE G XCE CV Cm H X111CE C1V Cm C1.W J X1CE CV CV Cm E X1CE C1V Cm K X11CE C1V m V L X11BCE CV m 1.W C90V M XCE

More information