PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 ALICE Simulation Hands-on Class H.Torii, Hiroshima Univ. ALICE Simulation Hands-on Hiroshima 2007/06/19

2 References and Remarks ALICE Offline Page Aliroot Tutorial Page (Monthly Updated) Aliroot Primer この Hands-on Class で伝えることは 上記マニュアルからの抜粋であり ただの日本語訳でしかありません これから状況が変わったとしても このスライドをアップデートすることはありませんし また wikipedia 上の内容を更新することはありません 基本的にはオリジナルの英語マニュアルを見て勉強してくださいね ( ハート ) ALICE Simulation Hands-on Class by H.Torii 2

3 Event Display の実演 Login to a machine. 環境変数の設定 bash の人 : %> source /opt/alice/setup.sh 追記 2007/09 %> source /opt/alice/setup_version.sh v4-04-rev-14 に変更 追記 2008/01 %> source /opt/alice/setup_version.sh v に変更 tcsh の人 : %> source /opt/alice/setup.csh 追記 2007/09 %> source /opt/alice/setup_version.csh v4-04-rev-14 に変更 追記 2008/01 %> source /opt/alice/setup_version.csh v に変更 %> mkdir test; cd test %> cp ~htorii/local/aliroot_handson/*./ %>./run.csh 1 %> aliroot display.c ALICE Simulation Hands-on Class by H.Torii 3

4 ALICE Simulation Hands-on Class by H.Torii 4

5 何が作られた? 多数の情報.root ファイル AliESDs.root : Event Summary Data ファイル raw.root: 生データ raw0/phos_1536.ddl : DDL 形式の生データ galice.root : GEANT の設定情報 geometry.root: ジオメトリー情報 Kinematic.root: イベントジェネレーション情報 多数のサブシステムファイル PHOS.Digits.root, PHOS.Hits.root, PHOS.RecParticles.root, PHOS.RecPoints.root, PHOS.SDigits.root, PHOS.Tracks.root ALICE Simulation Hands-on Class by H.Torii 5

6 4 x STEPs Event Generation 特定の物理事象に対して粒子を発生させる Simulation 発生粒子がディテクターを通る際に ディテクター内の物質とどのように反応するか 他粒子発生があるかどうか? デポジットエネルギーは? デポジットエネルギーが検出器に伝わるまで 検出器からのアナログシグナルを読み出し電子回路の出力であるデジタル情報に変換するまで Reconstruction 読み出し電子回路からのデジタル情報から 検出粒子情報を引き出す Analysis 検出粒子情報から物理結果となるヒストグラムに変換するまで ALICE Simulation Hands-on Class by H.Torii 6

7 基本的な考え方と情報の流れ ファイルから情報を読み出し別のファイルに情報を出力する ( 一部例外あり ) 情報の流れ Event Generation Kinematic.root Simulation Kinematic.root PHOS.Hits.root PHOS.SDigits.root ( raw0/*.ddl raw.root.date) raw.root Reconstruction raw.root PHOS.RecPoints.root PHOS.RecParticles.root AliESDs.root Analysis AliESDs.root AliAODs.root または個人の形式 ただし 現時点で存在しないファイルもある ALICE Simulation Hands-on Class by H.Torii 7

8 実データとシミュレーションの違い Event Generator Simulation DCS/HLT DAQ ALICE Simulation Hands-on Class by H.Torii 8

9 勉強の仕方 今回の説明では run.csh を使ったが 実際には内部で AliSimulation, AliReconstruction クラスを呼んでいるだけである その流れは自分で勉強してください 基本的には ソースコードをバリバリ読んで自分で勉強してください 好き嫌いは分かれるかもしれませんが 勉強のための便利なツール CVS web もあります ALICE Simulation Hands-on Class by H.Torii 9

10 AliRoot: Execution Flow Initialization Event Generation Particle Transport Hits Clusters Digits/ Raw digits Event merging Summable Digits Tracking PID ESD Analysis ALICE Simulation Hands-on Class by H.Torii 10

11 AliRoot Layout G3 G4 Fluka PDF HIJING VZERO CRT STRUCT HLT Virtual MC PYTHIA6 G R I A L I E N START PMD FMD STEER AliSimulation AliReconstruction ESD classes RAW Analysis D ITS TPC TRD TOF PHOS EMCAL RICH MUON ROOT Monit HBTAN EVGEN JETAN DPMJET ISAJET ZDC CINT HIST GRAPH TREES CONT IO MATH ALICE Simulation Hands-on Class by H.Torii 11

12 各 Step 毎の簡単な説明 とはいえ なかなかとっつき難いのも事実 ということで 今日は簡単に書くステップごとのポイントを話していきます ALICE Simulation Hands-on Class by H.Torii 12

13 [STEP 1] Event Generation いくつかの Event Generation コードが世の中に存在している 代表的なものだけあげます PYTHIA Electron,positoron,proton 衝突をシミュレート QCD leading order + parton shower fragmentation model (Jetset) HIJING ちなみに google で PYTHIA といれて日本語限定で検索すると トリイのページが ( ホテルの名前とかのリンクを除くと )2 番目に出てきます 参考にしてね ( ハート ) A+A 衝突をシミュレート PYTHIA + ソフトハドロン生成 他にも MC@NLO など特に Higgs 屋さんが血眼になって急いでいるイベントジェネレーターが世の中にある ALICE Simulation Hands-on Class by H.Torii 13

14 [STEP 1] Event Generation Config.C の中で設定する いくつかの用意された ALICE 専用のクラスが複数個存在する イベントジェネレーション管理クラス AliGenCocktail: 複数個のイベントジェネレーションクラスを同時に走らせることが出来る イベントジェネレーションクラス AliGenBox: 一種類の粒子を複数個指定の pt 範囲にて作り出す AliGenHIJINGpara: HIJING が予想するスペクトラムにしたがって 粒子を指定数個発生する AliPythia: PYTHIA そのもの ALICE Simulation Hands-on Class by H.Torii 14

15 [STEP 2] Simulation GEANT3 のフォートラン関数を C から呼んでいる 各々のエネルギーデポジットがあるごとに AliPHOSv1::StepManager() が呼ばれる AliPHOSv1::StepManager() の中で PHOS.Hits.root を作る エネルギーデポジットから ADC の出力情報に直すのに AliPHOSSDigitizer, AliPHOSDigitizer クラスが受け持つ SDigits は summable digits の略で 後々ノイズとあわせた digits 出力をするのに使われる ALICE Simulation Hands-on Class by H.Torii 15

16 [STEP 3] Reconstruction ADC 出力からエネルギーに直すところは 今のところ すべてのチャンネルのゲインは同一であると仮定してやっている クラスターにまとめる人は Clusterizerv1 クラス 出力は RecPoints 各クラスターが光子かどうかの判断 (PID) は AliPHOSPIDv1 クラスが受け持つ 出力は Recarticles ESD のクラス AliESDCaloCluster クラス ALICE Simulation Hands-on Class by H.Torii 16

17 [STEP 4] Analysis まで 光子解析用の AOD(Analysis Object Data) は存在しない いくつかの候補はあるみたい ということで ここでは 簡単な解析マクロを使って 自分で動かして作った AliESDs.root から光子エネルギーの分布を作ってみます ALICE Simulation Hands-on Class by H.Torii 17

18 [STEP 4] Analysis の実例 %> aliroot root[0]>.x AliPHOSComparison.cc AliPHOSComparison.root が出力される root[1]> TFile* file = TFile::Open( AliPHOSComparison.root ) root[2]> h1_pt0->draw(); 入力した光子のエネルギー分布 root[3]> h1_pt1->draw(); 測定されたクラスターのエネルギー分布 ALICE Simulation Hands-on Class by H.Torii 18

101NEO資料

101NEO資料 Version 1.5 Tutorial PDF ... 1. PDF... 2 -.... 2 -. PDF... 2 -.... 4 -. HTML... 4 -. PDF... 5 -.... 7 -.... 8 Tutorial PDF Tutorial PDF - Page 1 Tutorial PDF - Page 2 Tutorial PDF - Page 3 Tutorial PDF

More information

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ

LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 8 + J/ψ ALICE B597 : : : 9 LHC ALICE (QGP) QGP QGP QGP QGP ω ϕ J/ψ ALICE s = ev + J/ψ 6..................................... 6. (QGP)..................... 6.................................... 6.4..............................

More information

Analysis of π0, η and ω mesons in pp collisions with a high pT photon trigger at ALICE

Analysis of π0, η and ω mesons in pp collisions with a high pT photon trigger at ALICE Analysis of π 0, η and ω mesons in pp collisions with a high energy photon trigger at ALICE ( 高エネルギー光子トリガーを用いた陽子 + 陽子衝突における π 0 η ω 中間子の解析 ) 広島大学院理学研究科修士課程物理科学専攻 ( クォーク物理学研究室 ) 八野哲 (M116588) 修士論文発表会 クォーク

More information

GrADS の使い方 GrADS(Grid Analysis and Display System) は おもに 客観解析データのような格子点データを地図上に作図するために使われるアプリケーションです 全球スケールの気象を扱う分野で広く使われています GrADS は Unix 系の OS 上でよく利

GrADS の使い方 GrADS(Grid Analysis and Display System) は おもに 客観解析データのような格子点データを地図上に作図するために使われるアプリケーションです 全球スケールの気象を扱う分野で広く使われています GrADS は Unix 系の OS 上でよく利 GrADS の使い方 GrADS(Grid Analysis and Display System) は おもに 客観解析データのような格子点データを地図上に作図するために使われるアプリケーションです 全球スケールの気象を扱う分野で広く使われています GrADS は Unix 系の OS 上でよく利用されます ウェブページの検索によって詳しい使い方を調べることもできます 客観解析データなどを使って分布図を書いたりするときに便利なので

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン () 仮想マシン 復習 仮想マシンの概要 hsm 仮想マシン プログラム言語の処理系 ( コンパイラ ) 原始プログラム (Source program) コンパイラ (Compiler) 目的プログラム (Object code) 原始言語 (Source language) 解析 合成 目的言語 (Object Language) コンパイルする / 翻訳する (to compile

More information

Microsoft PowerPoint - hiei_MasterThesis

Microsoft PowerPoint - hiei_MasterThesis LHC 加速器での鉛鉛衝突における中性 πおよびω 中間子測定の最適化 日栄綾子 M081043 クォーク物理学研究室 目的 概要 目的 LHC 加速器における TeV 領域の鉛鉛衝突実験における中性 π および ω 中間子の測定の実現可能性の検証 および実際の測定へ向けた最適化 何故鉛鉛衝突を利用して 何を知りたいのか中性 πおよびω 中間子測定の魅力 ALICE 実験検出器群 概要予想される統計量およびバックグランドに対するシグナルの有意性を見積もった

More information

24 B

24 B 24 B093348 25 3 6 RHIC (BNL) 10 18 Gauss LHC (CERN) 10 19 Gauss 2 spectators ALICE s NN = 2.76T ev ALICE spectators ZDC-ZN ZDC ZDC 61.17 +0.34 0.40 1 1 6 1.1 (QGP)....................... 6 1.2................................

More information

τ-→K-π-π+ν τ崩壊における CP対称性の破れの探索

τ-→K-π-π+ν τ崩壊における CP対称性の破れの探索 τ - K - π - π + ν τ 崩壊における CP 対称性の破れの探索 奈良女子大学大学院人間文化研究科 物理科学専攻高エネルギー物理学研究室 近藤麻由 1 目次 はじめに - τ 粒子の概要 - τ - K - π - π + ν τ 崩壊における CP 対称性の破れ 実験装置 事象選別 τ - K - π - π + ν τ 崩壊の不変質量分布 CP 非対称度の解析 - モンテカルロシミュレーションによるテスト

More information

Rational Roseモデルの移行 マニュアル

Rational Roseモデルの移行 マニュアル Model conversion from Rational Rose by SparxSystems Japan Rational Rose モデルの移行マニュアル (2012/1/12 最終更新 ) 1. はじめに このガイドでは 既に Rational( 現 IBM) Rose ( 以下 Rose と表記します ) で作成された UML モデルを Enterprise Architect で利用するための作業ガイドです

More information

Microsoft PowerPoint - GLMMexample_ver pptx

Microsoft PowerPoint - GLMMexample_ver pptx Linear Mixed Model ( 以下 混合モデル ) の短い解説 この解説のPDFは http://www.lowtem.hokudai.ac.jp/plantecol/akihiro/sumida-index.html の お勉強 のページにあります. ver 20121121 と との間に次のような関係が見つかったとしよう 全体的な傾向に対する回帰直線を点線で示した ところが これらのデータは実は異なる

More information

HABOC manual

HABOC manual HABOC manual Version 2.0 takada@cr.scphys.kyoto-u.ac.jp HABOC とは Event by event 解析用の Framework C++ による coding ANL や FULL の C++ 版を目標 ANL/FULL は Object Oriented な設計概念なので C++ と相性が良い Histogram や視覚化には ROOT(http://root.cern.ch)

More information

Geant4を使ってみよう

Geant4を使ってみよう Geant4 を使ってみよう まずは サンプルを動かしてみましょう ここからは 特に記載がない限り /home/****/++++(win:c:\cygwin\home\++++) を基準 ディレクトリとして扱います (**** は学年のディレクトリ ++++ は自分の名前です ) まずは サンプルを動かすための下準備です xterm( ウィンドウ ) を開いて下さい (Windows 版の場合は

More information

JPS_draft.pptx

JPS_draft.pptx LHC-ATLAS 実験における高い運動量を持つジェットの b- タグの開発及び評価 小林愛音 江成祐二 A 川本辰男 A 東大理 東大素セ A 9pSK-6 9th September 4 日本物理学会 4 年秋季大会 Introduction 5 年から始まる LHC の運転では高い運動量を持った物理の解析が重要 新しい重いレゾナンスの探索 (à WW, tt, hhà jets) VHà bb

More information

Java Scriptプログラミング入門 3.6~ 茨城大学工学部情報工学科 08T4018Y 小幡智裕

Java Scriptプログラミング入門 3.6~ 茨城大学工学部情報工学科 08T4018Y  小幡智裕 Java Script プログラミング入門 3-6~3-7 茨城大学工学部情報工学科 08T4018Y 小幡智裕 3-6 組み込み関数 組み込み関数とは JavaScript の内部にあらかじめ用意されている関数のこと ユーザ定義の関数と同様に 関数名のみで呼び出すことができる 3-6-1 文字列を式として評価する関数 eval() 関数 引数 : string 式として評価する文字列 戻り値 :

More information

Microsoft PowerPoint - chap10_OOP.ppt

Microsoft PowerPoint - chap10_OOP.ppt プログラミング講義 Chapter 10: オブジェクト指向プログラミング (Object-Oriented Programming=OOP) の入り口の入り口の入り口 秋山英三 F1027 1 例 : 部屋のデータを扱う // Test.java の内容 public class Test { public static void main(string[] args) { double length1,

More information

Microsoft Word - 実験テキスト2005.doc

Microsoft Word - 実験テキスト2005.doc 7. プロセスの動特性 [Ⅰ] 目的液レベル制御実験および同シミュレーションを通して ステップ応答に基づくプロセス伝達関数の同定方法 ステップ応答法による PI 制御パラメータの調整方法 および PI 制御パラメータが制御性能へ与える影響について習熟する さらに 制御シミュレーションを通して むだ時間を有するプロセスに対するスミス補償型制御の有効性を確認する [Ⅱ] 理論 2.1 ステップ応答実験による伝達関数の同定

More information

25 3 4

25 3 4 25 3 4 1 µ e + ν e +ν µ µ + e + +ν e + ν µ e e + TAC START STOP START veto START (2.04 ± 0.18)µs 1/2 STOP (2.09 ± 0.11)µs 1/8 G F /( c) 3 (1.21±0.09) 5 /GeV 2 (1.19±0.05) 5 /GeV 2 Weinberg θ W sin θ W

More information

C#の基本

C#の基本 C# の基本 ~ 開発環境の使い方 ~ C# とは プログラミング言語のひとつであり C C++ Java 等に並ぶ代表的な言語の一つである 容易に GUI( グラフィックやボタンとの連携ができる ) プログラミングが可能である メモリ管理等の煩雑な操作が必要なく 比較的初心者向きの言語である C# の利点 C C++ に比べて メモリ管理が必要ない GUIが作りやすい Javaに比べて コードの制限が少ない

More information

フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに 本ツールの機能 yflowgen.exe の使い方 yflowgen.exe の実行方法 制限事項 生成したファイル (gml ファイル形式 ) の開

フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに 本ツールの機能 yflowgen.exe の使い方 yflowgen.exe の実行方法 制限事項 生成したファイル (gml ファイル形式 ) の開 フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに...2 2 本ツールの機能...2 3 yflowgen.exe の使い方...3 3.1 yflowgen.exe の実行方法...3 3.2 制限事項...3 3.3 生成したファイル (gml ファイル形式 ) の開き方...4 3.3.1 yed Graph Editor を使って開く...4 3.3.2 yed

More information

T2K 実験 南野彰宏 ( 京都大学 ) 他 T2Kコラボレーション平成 25 年度宇宙線研究所共同利用成果発表会 2013 年 12 月 20 日 1

T2K 実験 南野彰宏 ( 京都大学 ) 他 T2Kコラボレーション平成 25 年度宇宙線研究所共同利用成果発表会 2013 年 12 月 20 日 1 T2K 実験 南野彰宏 ( 京都大学 ) 他 T2Kコラボレーション平成 25 年度宇宙線研究所共同利用成果発表会 2013 年 12 月 20 日 1 T2K 実験 J- PARC でほぼ純粋な ν µμ ビームを生成 生成点直後の前置検出器と 295km 離れたスーパーカミオカンデでニュートリノを観測 ニュートリノ振動の精密測定 T2K 実験における振動モード 1. ν µμ ν e (ν e

More information

JPS2012spring

JPS2012spring BelleII 実験用 TOP カウンターの性能評価 2012.7.7( 土 ) 名古屋大学高エネルギー物理学研究室 (N 研究室 ) 有田義宣 BelleII に搭載する粒子識別装置 TOP カウンター 2 BelleII 実験 もっとも識別の難しい π/k 識別 BelleⅡ 実験は Belle 実験をさらに高輝度化 (40 倍 ) し 大量の B 中間子からの稀崩壊現象を探る電子陽電子コライダー

More information

Microsoft PowerPoint - adi05.ppt [互換モード]

Microsoft PowerPoint - adi05.ppt [互換モード] 画像情報処理論 画像処理プログラミングの基礎 1 画像クラス PNM 画像フォーマット 2 レポートについて 3 演習 : 入出力 2 値化 多値化 Hue 疑似カラー ヒストグラム作成 大学院情報システム科学専攻張暁華 1 2 C++ クラスの基礎 多重ポインターから多次元配列を作る方法 class クラス名 { /* 設計図の様なものでクラス = 新しい型 */ public: /* パブリックの場合は

More information

最小二乗法とロバスト推定

最小二乗法とロバスト推定 はじめに 最小二乗法とロバスト推定 (M 推定 ) Maplesoft / サイバネットシステム ( 株 ) 最小二乗法は データフィッティングをはじめとしてデータ解析ではもっともよく用いられる手法のひとつです Maple では CurveFitting パッケージの LeastSquares コマンドや Statistics パッケージの Fit コマンド NonlinearFit コマンドなどを用いてデータに適合する数式モデルを求めることが可能です

More information

memo

memo 数理情報工学演習第一 C プログラミング演習 ( 第 5 回 ) 2015/05/11 DEPARTMENT OF MATHEMATICAL INFORMATICS 1 今日の内容 : プロトタイプ宣言 ヘッダーファイル, プログラムの分割 課題 : 疎行列 2 プロトタイプ宣言 3 C 言語では, 関数や変数は使用する前 ( ソースの上のほう ) に定義されている必要がある. double sub(int

More information

無料で多機能な OSS の ETL ツール Kettle を使ってみよう! 情報政策課技術職員金森浩治 1. はじめにデータ処理を行うにあたって非常に便利なツール ETL 本稿では OSS の ETL Kettle の機能とその使用方法を紹介します 2. 用語説明 2.1 OSS とは? OSS と

無料で多機能な OSS の ETL ツール Kettle を使ってみよう! 情報政策課技術職員金森浩治 1. はじめにデータ処理を行うにあたって非常に便利なツール ETL 本稿では OSS の ETL Kettle の機能とその使用方法を紹介します 2. 用語説明 2.1 OSS とは? OSS と 無料で多機能な OSS の ETL ツール Kettle を使ってみよう! 情報政策課技術職員金森浩治 1. はじめにデータ処理を行うにあたって非常に便利なツール ETL 本稿では OSS の ETL Kettle の機能とその使用方法を紹介します 2. 用語説明 2.1 OSS とは? OSS とは Open Source Software の略で ソースコードが公開されているソフトウェアのことです

More information

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを メトリクス利用によるリファクタリング対象の自動抽出 ローランドディー. ジー. 株式会社 第 4 開発部 SC02 小林光一 e-mail:kouichi.kobayashi@rolanddg.co.jp 2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない

More information

デジタル表現論・第6回

デジタル表現論・第6回 デジタル表現論 第 6 回 劉雪峰 ( リュウシュウフォン ) 2016 年 5 月 16 日 劉 雪峰 ( リュウシュウフォン ) デジタル表現論 第 6 回 2016 年 5 月 16 日 1 / 16 本日の目標 Java プログラミングの基礎配列 ( 復習 関数の値を配列に格納する ) 文字列ファイルの書き込み 劉 雪峰 ( リュウシュウフォン ) デジタル表現論 第 6 回 2016 年

More information

Microsoft Word - マニュアルBL-6A03.docx

Microsoft Word - マニュアルBL-6A03.docx BL-6A 新制御ソフト操作マニュアル 2011 年 10 月 18 日 Ver. 1.0 (KEK-PF 清水伸隆 ) I. 操作準備 (1) PC が起動していなければ起動します ( 基本的には常時起動しているはずです ) この PC はシャットダウンする必要はありません!! (2) 自動ログイして タスクバーにコマンドプロンプトが 2 つ (manager(stars device manager)

More information

17章 プログラムの提供

17章 プログラムの提供 三谷純 データ構造 コレクション とは 複数のデータをひとまとめにするクラス ArrayList 以外のコレクション TreeSet 要素を常にソートされた状態に保ち 重複を自動的に排除する HashMap キーと値のペアを要素として保持する LinkedList ArrayList とほぼ同じだが リスト中の任意の位置に要素を挿入 削除するといった処理のパフォーマンスが若干高い HashSet

More information

コードテンプレートフレームワーク 機能ガイド 基礎編

コードテンプレートフレームワーク 機能ガイド 基礎編 Code Template Framework Guide by SparxSystems Japan Enterprise Architect 日本語版コードテンプレートフレームワーク機能ガイド基礎編 (2018/05/16 最終更新 ) 1. はじめに Enterprise Architect には コードテンプレートフレームワーク ( 以下 CTF と表記します ) と呼ばれる機能が搭載されています

More information

CsI(Tl) 2005/03/

CsI(Tl) 2005/03/ CsI(Tl) 2005/03/30 1 2 2 2 3 3 3.1............................................ 3 3.2................................... 4 3.3............................................ 5 4 6 4.1..............................................

More information

DVIOUT

DVIOUT 5.3 音声を加工してみよう! 5.3. 音声を加工してみよう! 129 この節では 図 5.11 の音声 あ の離散化された波 (x n ) のグラフおよび図 5.12 の音声 あ の離散フーリエ変換 ( 周波数スペクトル密度 ) の絶対値 ( X k ) のグラフを基準に 離散フーリエ変換および離散フーリエ積分を使って この離散化された波の検証や加工を行なってみましよう 6 図 5.11: 音声

More information

intra-mart EX申請システム version.7.2 事前チェック

intra-mart EX申請システム version.7.2 事前チェック IM EX 申請システム ver7.2 事前チェックシート 2015/12/22 株式会社 NTT データイントラマート 改訂履歴版 日付 内容 初版 2011/2/28 第二版 2012/11/16 環境シートのIEの設定について説明を追記しました 第三版 2014/4/18 環境シートおよび制限事項シートにExcel2013について説明を追記しました 第三版 2014/4/18 環境シートおよび制限事項シートよりExcel2003の説明を除外しました

More information

Microsoft Word - t30_西_修正__ doc

Microsoft Word - t30_西_修正__ doc 反応速度と化学平衡 金沢工業大学基礎教育部西誠 ねらい 化学反応とは分子を構成している原子が組み換り 新しい分子構造を持つことといえます この化学反応がどのように起こるのか どのような速さでどの程度の分子が組み換るのかは 反応の種類や 濃度 温度などの条件で決まってきます そして このような反応の進行方向や速度を正確に予測するために いろいろな数学 物理的な考え方を取り入れて化学反応の理論体系が作られています

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt 仮想マシン (2), コード生成 http://cis.k.hosei.ac.jp/~asasaki /lect/compiler/2007-1204.pdf ( 訂正版 ) 1 概要 仮想マシン 概要 ( 復習 ) 制御命令 出力命令 コード生成 式のコード生成 文 文の列のコード生成 記号表 2 演習で作るコンパイラの例 test.hcc Int main() { int i j; i = 3;

More information

リンクされたイメージを表示できません ファイルが移動または削除されたか 名前が変更された可能性があります リンクに正しいファイル名と場所が指定されていることを確認してください 9 2

リンクされたイメージを表示できません ファイルが移動または削除されたか 名前が変更された可能性があります リンクに正しいファイル名と場所が指定されていることを確認してください 9 2 1 リンクされたイメージを表示できません ファイルが移動または削除されたか 名前が変更された可能性があります リンクに正しいファイル名と場所が指定されていることを確認してください 9 2 3 47 25 16. 17. 18. 8 20. 9 21 10 22. 4 5 6 4 19 26 4,305 17 75 98 386 163 4,305 1,713 813 0 769 1,782 4,403

More information

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R 第 回,, で構成される回路その + SPIE 演習 目標 : SPIE シミュレーションを使ってみる 回路の特性 と の両方を含む回路 共振回路 今回は講義中に SPIE シミュレーションの演習を併せて行う これまでの, 回路に加え, と を組み合わせた回路, と の両方を含む回路について, 周波数応答の式を導出し, シミュレーションにより動作を確認する 直列回路 演習問題 [] インダクタと抵抗による

More information

P072-076.indd

P072-076.indd 3 STEP0 STEP1 STEP2 STEP3 STEP4 072 3STEP4 STEP3 STEP2 STEP1 STEP0 073 3 STEP0 STEP1 STEP2 STEP3 STEP4 074 3STEP4 STEP3 STEP2 STEP1 STEP0 075 3 STEP0 STEP1 STEP2 STEP3 STEP4 076 3STEP4 STEP3 STEP2 STEP1

More information

STEP1 STEP3 STEP2 STEP4 STEP6 STEP5 STEP7 10,000,000 2,060 38 0 0 0 1978 4 1 2015 9 30 15,000,000 2,060 38 0 0 0 197941 2016930 10,000,000 2,060 38 0 0 0 197941 2016930 3 000 000 0 0 0 600 15

More information

1

1 1 2 3 4 5 6 7 8 9 0 1 2 6 3 1 2 3 4 5 6 7 8 9 0 5 4 STEP 02 STEP 01 STEP 03 STEP 04 1F 1F 2F 2F 2F 1F 1 2 3 4 5 http://smarthouse-center.org/sdk/ http://smarthouse-center.org/inquiries/ http://sh-center.org/

More information

PrimerArray® Analysis Tool Ver.2.2

PrimerArray® Analysis Tool Ver.2.2 研究用 PrimerArray Analysis Tool Ver.2.2 説明書 v201801 PrimerArray Analysis Tool Ver.2.2 は PrimerArray( 製品コード PH001 ~ PH007 PH009 ~ PH015 PN001 ~ PN015) で得られたデータを解析するためのツールで コントロールサンプルと 1 種類の未知サンプル間の比較が可能です

More information

VDM-SL ISO.VDM++ VDM-SL VDM- RT VDM++ VDM,.VDM, [5]. VDM VDM++.,,, [7]., VDM++.,., [7] VDM++.,,,,,,,.,,, VDM VDMTools OvertureTo

VDM-SL ISO.VDM++ VDM-SL VDM- RT VDM++ VDM,.VDM, [5]. VDM VDM++.,,, [7]., VDM++.,., [7] VDM++.,,,,,,,.,,, VDM VDMTools OvertureTo KAOS 1 1 1 1 1,.,. ( ). KAOS VDM++.,.,,, 1. 1.1,, [1].,,, [2].,, [3]. 1.2 ( ),, [3] KAOS, VDM++, KAOS VDM++ 1 Kyushu University, KAOS,, KAOS, KAOS, VDM++., 1.3 2,., 3, KAOS VDM++. 4, 3,. 5 2. 2.1,,,,,

More information

HL SI & HL FWS Script Control

HL SI & HL FWS Script Control IBIS-LPB Design Kit LPB フォーマットを活用した構想設計自動化 ( 株 ) 東芝 青木孝哲 ( 株 ) 東芝 岡野資睦 メンターグラフィックス ジャパン ( 株 ) 門田和博 Page1 概要 LPB フォーマットと LPB Design Kit を用いて PCB 構想設計を行う HyperLynx SI を用いて IBIS モデル コンデンサ SPICE モデルを Import

More information

ACD/1D NMR Processor:基本トレーニング

ACD/1D NMR Processor:基本トレーニング Quick Start Guide ACD/1D NMR Processor: 基本トレーニング Version 12 富士通株式会社 TC ソリューション事業本部 計算科学ソリューション統括部 目次 はじめに... 2 Raw データのインポート... 2 スペクトルデータをインポートするには... 2 フーリエ変換, ベースライン補正, フェーズ補正... 3 フーリエ変換 ベースライン補正 フェーズ補正を自動実行するには...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション FLEXSCHE Excel 帳票 入門ガイド 1 目次 2 EXCEL 帳票とは EDIF を用いて出力された一時データを元に それを EXCEL 形式の帳票として出力する機能です 利用するには FLEXSCHE EDIF の他 Microsoft Excel 2003 以降が必要です レイアウトデザインも EXCEL で行うので 多くの方に操作に抵抗なく編集していただけます この入門ガイドでは

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション かんたんマニュアル 差し込み印刷編 目次 STEP:1 STEP:2 STEP:3 STEP:4 元となるラベル カードのデータを作ろうP.2 差し込みデータの関連付けを設定しよう P.7 データの差し込みをしよう P.11 印刷しよう P.17 STEP1: 画面の確認をしよう 差し込み印刷とは 表計算ソフトで作った住所録を宛名ラベルに印刷したり 名簿をも とに同じ形式のカードを作ったりするときに便利な機能です

More information

Gromacsユーザーマニュアル

Gromacsユーザーマニュアル 目次 1 Gromacs について... 2 2 Gromacs インストール概要... 3 3 Gromacs 実行例... 5 4 既知の問題点... 6 付録 A... 7 A.1 HPC システムズお問い合わせ先... 7 Copyright HPC SYSTEMS Inc. All Rights Reserved. 1 1 Gromacs について Gromacs はグローニンゲン大学で開発された分子動力学シミュレーションのソフトウェアパッケージです

More information

Microsoft PowerPoint - prog03.ppt

Microsoft PowerPoint - prog03.ppt プログラミング言語 3 第 03 回 (2007 年 10 月 08 日 ) 1 今日の配布物 片面の用紙 1 枚 今日の課題が書かれています 本日の出欠を兼ねています 2/33 今日やること http://www.tnlab.ice.uec.ac.jp/~s-okubo/class/java06/ にアクセスすると 教材があります 2007 年 10 月 08 日分と書いてある部分が 本日の教材です

More information

/27 (13 8/24) (9/27) (9/27) / / / /16 12

/27 (13 8/24) (9/27) (9/27) / / / /16 12 79 7 79 6 14 7/8 710 10 () 9 13 9/17 610 13 9/27 49 7 14 7/8 810 1 15 8/16 11 811 1 13 9/27 (13 8/24) (9/27) (9/27) 49 15 7/12 78 15 7/27 57 1 13 8/24 15 8/16 12 810 10 40 1 Wikipedia 13 8/18, 8/28 79

More information

RX501NC_LTE Mobile Router取説.indb

RX501NC_LTE Mobile Router取説.indb 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 1 2 3 4 5 6 7 8 19 20 21 22 1 1 23 1 24 25 1 1 26 A 1 B C 27 D 1 E F 28 1 29 1 A A 30 31 2 A B C D E F 32 G 2 H A B C D 33 E 2 F 34 A B C D 2 E 35 2 A B C D 36

More information

DAQ-Middleware 開発環境の準備

DAQ-Middleware 開発環境の準備 DAQ-Middleware 開発環境の準備 千代浩司 1 動作環境 いまのところ動作を確認しているのは32bit 環境のみ 64bit では確認していない ( 動かないというわけではない バイナリは RHEL 5.2(i386) 上で作成している 2 内容 DAQ-Middlewareを使うのに必要なソフトウェアセットアップの方法 時間があれば実演 3 vmplayer を使う人 vmplayerイメージにはこれから述べる手順でインストールが完了している

More information

2

2 2 485 1300 1 6 17 18 3 18 18 3 17 () 6 1 2 3 4 1 18 11 27 10001200 705 2 18 12 27 10001230 705 3 19 2 5 10001140 302 5 () 6 280 2 7 ACCESS WEB 8 9 10 11 12 13 14 3 A B C D E 1 Data 13 12 Data 15 9 18 2

More information

Hphi実行環境導入マニュアル_v1.1.1

Hphi実行環境導入マニュアル_v1.1.1 HΦ の計算環境構築方法マニュアル 2016 年 7 月 25 日 東大物性研ソフトウェア高度化推進チーム 目次 VirtualBox を利用した HΦ の導入... 2 VirtualBox を利用した MateriAppsLive! の導入... 3 MateriAppsLive! への HΦ のインストール... 6 ISSP スパコンシステム B での HΦ の利用方法... 8 各種ファイルの置き場所...

More information

ソフト活用事例③自動Rawデータ管理システム

ソフト活用事例③自動Rawデータ管理システム ソフト活用事例 3 自動 Raw データ管理システム ACD/Labs NMR 無料講習会 & セミナー 2014 於 )2014.7.29 東京 /2014.7.31 大阪 富士通株式会社テクニカルコンピューティング ソリューション事業本部 HPC アプリケーション統括部 ACD/Spectrus をご選択頂いた理由 (NMR 領域 ) パワフルな解 析機能 ベンダーニュートラルな解析環境 直感的なインターフェース

More information

PowerPoint Presentation

PowerPoint Presentation OPROARTS Connector for Salesforce ユーザーガイド はじめに 本ドキュメントはサンプルテンプレートを使用し 帳票作成からボタン作成までの流れを解説します セットアップは OPROARTS Connector for Salesforce セットアップガイドを参照ください 帳票デザインについてはデザイナーガイドを参照ください 1997-2019 OPRO Co., Ltd.

More information

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド メソッド ( 教科書第 7 章 p.221~p.239) ここまでには文字列を表示する System.out.print() やキーボードから整数を入力する stdin.nextint() などを用いてプログラムを作成してきた これらはメソッドと呼ばれるプログラムを構成する部品である メソッドとは Java や C++ などのオブジェクト指向プログラミング言語で利用されている概念であり 他の言語での関数やサブルーチンに相当するが

More information

TheRecordx.indd

TheRecordx.indd Monthly News Digest Contents 7/27 28 THE RECORD 2016-09 2 focus 67.1% 32.9% 14.1 23.9 22.5 19.7 35.2 32.4 31.0 0 20 40 (%) 70.3 35.9 21.4 9.7 2.8 0 20 40 60 80 (%) 3 THE RECORD 2016 09 57.9% 42.1% 1 19pt

More information

Windows Powershell 入門

Windows Powershell 入門 Windows PowerShell 入門 Windows PowerShell に親しもう コマンドレットのパイプ処理とは システム理解のため実際に使ってみよう Prepared by 遠藤忠雄 1 Windows PowerShell の起動 (Windows 10 の場合 ) スタートボタンを右クリックし現れたメニューの Windows PowerShell をクリック ( または ) Windows

More information

京都 ATLAS meeting 田代 Thursday, July 11, 13 1

京都 ATLAS meeting 田代 Thursday, July 11, 13 1 京都 ATLAS meeting 3.7. 田代 削減 先週のスライドより EI/FI と NSW を用いた の削減について after EI/FI cut after NSW cut -3 - - 3 trigger 数 : 5664 EI/FI cut 38 % 349965 NSW cut 6 % 3398 4 8 6 (offline pt> GeV) after EI/FI cut after

More information

Visio-.v...[..1.vsd

Visio-.v...[..1.vsd Delphi Application への WinRunner の適用手法の検討 オブジェクト認識の仕組み オブジェクトの分類 Add-in の種類と概要 Add-in 適用パターンの比較 コスト業務フロー 表紙 Script GUI MAP Application #### TSL(Test Script Language) #### # New Name set_window ("New Name");

More information

JavaプログラミングⅠ

JavaプログラミングⅠ Java プログラミング Ⅰ 12 回目クラス 今日の講義で学ぶ内容 クラスとは クラスの宣言と利用 クラスの応用 クラス クラスとは 異なる複数の型の変数を内部にもつ型です 直観的に表現すると int 型や double 型は 1 1 つの値を管理できます int 型の変数 配列型は 2 5 8 6 3 7 同じ型の複数の変数を管理できます 配列型の変数 ( 配列変数 ) クラスは double

More information

ビットリアカップ2007けいはんなサイクルレースリザルト

ビットリアカップ2007けいはんなサイクルレースリザルト Page 4/30 Page 5/30 Page 6/30 Page 7/30 Page 8/30 Page 9/30 Page 10/30 Page 11/30 Page 12/30 Page 13/30 Page 14/30 Page 15/30 Page 16/30 Page 17/30 Page 18/30 Page 19/30 Page 20/30 Page 21/30 Page 22/30

More information

Page 1

Page 1 Page 1 Page 2 Page 3 Page 4 620 628 579-41 -6.7-49 -7.9 71 41 47-24 -33.3 6 15.9 11.5 6.5 8.1 36 15 22-14 -38.9 7 43.4 Page 5 277 302 23 24 12/3Q 12/4Q 13/1Q 13/2Q 13/3Q 13/4Q 14/1Q 14/2Q 14/3Q 14/4Q 15/1Q

More information

Microsoft PowerPoint - OOP.pptx

Microsoft PowerPoint - OOP.pptx 第 12 回 第 10 章ファイルの入出力処理 24 4 入出力ストリームクラス 245 ファイルの書き出し (1) ファイルのオープン処理 FileWriter fw=new FileWriter(args[0]); 文字列 args[0] で指定された名前のファイルを作成する.FileWriter というストリームクラスのオブジェクトによりファイルがオープンされる. このオブジェクトは変数 fw

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

ネットワークシステム管理 #01

ネットワークシステム管理 #01 先週のおさらい ネットワークシステム管理 #06 たかさきこうや UNIX(Linux) という OS を触ってみよう login.cuc.ac.jp に teraterm というターミナルソフトを使ってログインしコマンドをたたくことが出来る cd で移動 pwd で位置を確認 ls でファイルとディレクトリ ( フォルダ ) のリストを確認 more でファイルの中身を確認 exit でログアウト

More information

Microsoft PowerPoint - 計算機言語 第7回.ppt

Microsoft PowerPoint - 計算機言語 第7回.ppt 計算機言語第 7 回 長宗高樹 目的 関数について理解する. 入力 X 関数 f 出力 Y Y=f(X) 関数の例 関数の型 #include int tasu(int a, int b); main(void) int x1, x2, y; x1 = 2; x2 = 3; y = tasu(x1,x2); 実引数 printf( %d + %d = %d, x1, x2, y);

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション オブジェクト指向 プログラミング演習 第 2 回クラス インスタンス メソッド コンストラクタ 先週の出席確認 Webブラウザはどのようなプログラムでできているかこの問に答える前に Webブラウザとは 何か? 普段使ってますよね? Webブラウザを使ってできることと Webブラウザがやっていることを区別する必要がある 何をすれば Web ブラウザ と言えるのか NHK チコちゃんに叱られる! Web

More information

分析のステップ Step 1: Y( 目的変数 ) に対する値の順序を確認 Step 2: モデルのあてはめ を実行 適切なモデルの指定 Step 3: オプションを指定し オッズ比とその信頼区間を表示 以下 このステップに沿って JMP の操作をご説明します Step 1: Y( 目的変数 ) の

分析のステップ Step 1: Y( 目的変数 ) に対する値の順序を確認 Step 2: モデルのあてはめ を実行 適切なモデルの指定 Step 3: オプションを指定し オッズ比とその信頼区間を表示 以下 このステップに沿って JMP の操作をご説明します Step 1: Y( 目的変数 ) の JMP によるオッズ比 リスク比 ( ハザード比 ) の算出と注意点 SAS Institute Japan 株式会社 JMP ジャパン事業部 2011 年 10 月改定 1. はじめに 本文書は JMP でロジスティック回帰モデルによるオッズ比 比例ハザードモデルによるリスク比 それぞれに対する信頼区間を求める操作方法と注意点を述べたものです 本文書は JMP 7 以降のバージョンに対応しております

More information

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較

natMg+86Krの反応による生成核からのβ線の測定とGEANTによるシミュレーションとの比較 nat Mg+ 86 Kr の反応による生成核からの β 線の測定と GEANT によるシミュレーションとの比較 田尻邦彦倉健一朗 下田研究室 目次 実験の目的 nat Mg+ 86 Kr 生成核からの β 線の測定 @RCNP 実験方法 実験結果 GEANT によるシミュレーション 解析 結果 まとめ 今後の課題 実験の目的 偏極した中性子過剰 Na アイソトープの β-γ-γ 同時測定実験を TRIUMF

More information

ネットワークシステム管理 #01

ネットワークシステム管理 #01 先週のおさらい ネットワークシステム管理 #06 たかさきこうや UNIX(Linux) という OS を触ってみよう login.cuc.ac.jp に teraterm というターミナルソフトを使ってログインしコマンドをたたくことが出来る cd で移動 pwd で位置を確認 ls でファイルとディレクトリ ( フォルダ ) のリストを確認 more でファイルの中身を確認 exit でログアウト

More information

ステライメージ Ver.5 チュートリアル

ステライメージ Ver.5 チュートリアル ステライメージ Ver.5 天体画像処理チュートリアル このPDFカタログは ステライメージ Ver.5 で天体画像処理 を行う際の手順をまとめたものです 内容については製品情報のマニュアルより抜粋しています P35 ステップ1 目 次 P76 ステップ4 P60 ステップ2 P81 ステップ5 P68 ステップ3 34 35 36 37 38 39 40 41 42 43 44 45 46 47

More information

CLUSTERPRO MC StorageSaver 2.2 for Linux リリースメモ 2017(Apr) NEC Corporation ライセンス パッケージのインストール セットアップ マニュアル 補足事項 注意事項

CLUSTERPRO MC StorageSaver 2.2 for Linux リリースメモ 2017(Apr) NEC Corporation ライセンス パッケージのインストール セットアップ マニュアル 補足事項 注意事項 リリースメモ 2017(Apr) NEC Corporation ライセンス パッケージのインストール セットアップ マニュアル 補足事項 注意事項 はしがき 本書は ( 以後 StorageSaver と記載します ) の 動作に必要な手順について説明します (1) 商標および登録商標 Red Hat は 米国およびその他の国における Red Hat,Inc. の商標または登録商標です Oracle

More information

◎phpapi.indd

◎phpapi.indd PHP や HTML の知識がなくても大丈夫 PHP や HTML の基本も学べる FileMaker データベースを Web に公開したい FileMaker を使って動的な Web サイトを作りたい FileMaker しか知らない人が Web アプリケーションを作れるようになる! はじめに まず 本書を手に取ってくださりありがとうございます 本書はある程度 FileMaker Pro の扱いに慣れ

More information

Microsoft PowerPoint - handout07.ppt [互換モード]

Microsoft PowerPoint - handout07.ppt [互換モード] Outline プログラミング演習第 7 回構造体 on 2012.12.06 電気通信大学情報理工学部知能機械工学科長井隆行 今日の主眼 構造体 構造体の配列 構造体とポインタ 演習課題 2 今日の主眼 配列を使うと 複数の ( 異なる型を含む ) データを扱いたい 例えば 成績データの管理 複数のデータを扱う 配列を使う! 名前学籍番号点数 ( 英語 ) 点数 ( 数学 ) Aomori 1 59.4

More information