UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装 (XAPP1248)

Size: px
Start display at page:

Download "UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装 (XAPP1248)"

Transcription

1 : XAPP1248 (v1.2) 2015 年 8 月 14 日 アプリケーションノート :GTH トランシーバー UltraScale アーキテクチャ UltraScale GTH トランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : Gilbert Magnaye John Snow 概要 放送業界向けの映像機器には SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が広く使用されています このインターフェイスは 放送局スタジオや映像制作会社で使用されており 非圧縮のデジタル映像と多重音声チャネルなどの埋め込まれた補助データを共に伝送します UHD-SDI と総称される 6G-SDI および 12-SDI は SDI 規格の最新拡張版で Ultra HD ( 超高細精度 ) ビデオフォーマットおよび高フレームレートの HD ビデオフォーマットを伝送するために より広い帯域幅を提供します ザイリンクスの LogiCORE IP SMPTE UHD-SDI は デバイス固有の制御機能を持たない一般的な UHD-SDI 受信 / 送信データパスです このアプリケーションノートでは 完全な UHD-SDI インターフェイスを構築するために UltraScale GTH トランシーバーと SMPTE UHD-SDI LogicCORE IP を合わせて使用するための制御ロジックを含むモジュールを提供します また ザイリンクス UltraScale FPGA KCU105 評価ボードを使用する SDI の設計例を紹介します はじめに ザイリンクスの LogiCORE IP SMPTE UHD-SDI ( 以下 UHD-SDI コアという ) は UltraScale GTH トランシーバーと接続することで SMPTE SD-SDI HD-SDI 3G-SDI 6G-SDI および 12G-SDI 規格をサポートする SDI インターフェイスを実装できます UHD-SDI コアと GTH トランシーバーを接続して完全な SDI インターフェイスを実装するには ロジックを追加する必要があります このアプリケーションノートでは この付加的な制御ロジックとインターフェイスロジックについて説明し 必要となる制御モジュールおよびインターフェイスモジュールを Verilog ソースコードで提供します この資料に出てくる SDI とは SD-SDI HD-SDI 3G-SDI 6G-SDI および 12G-SDI を総称する SMPTE ファミリのインターフェイス規格のことです UltraScale GTH トランシーバーは 12G-SDI を含むすべての SDI ビットレートに対応できます CPLL の利用によってビットレートが制限され -1 スピードグレードの場合は 3G-SDI -2 および -3 スピードグレードの場合は 6G-SDI となります スピードグレードとパッケージの各組み合わせでサポートされる GTH トランシーバーの最大ラインレートは Kintex UltraScale アーキテクチャデータシート : DC 特性および AC スイッチ特性 [ 参照 16] の GTH トランシーバーのスイッチ特性 のセクションを参照してください デバイス固有の制御ロジックの主な機能は次のとおりです GTH トランシーバーのリセットロジック 5 つの SDI 規格をサポートするために GTH RX/TX シリアルクロック分周器を動的に切り換える機能 HD-SDI 3G-SDI 6G-SDI および 12G-SDI 規格の 2 つの異なるビットレートをサポートするために TX の基準クロックを動的に切り換える機能 1.485Gb/s および 1.485/1.001Gb/s (HD-SDI モードの場合 ) 2.97Gb/s および 2.97/1.001Gb/s (3G-SDI モードの場合 ) 5.94Gb/s および 5.94/1.001Gb/s (6G-SDI モードの場合 ) 11.88Gb/s および 11.88/1.001Gb/s (12G-SDI モードの場合 ) 12G-SDI 規格の 2 つの異なるビットレートをサポートするために RX の基準クロックを動的に切り換える機能 11.88Gb/s および 11.88/1.001Gb/s (12G-SDI モードの場合 ) GTH RXDATA および TXDATA ポート幅を動的に切り替える機能 20 ビット RXDATA および TXDATA (SD-SDI HD-SDI および 3G-SDI モードの場合 ) 40 ビット RXDATA および TXDATA (6G-SDI および 12G-SDI モードの場合 ) 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 1

2 はじめに SD-SDI モードの場合にデータを回復させるデータリカバリユニット RX が整数フレームレート信号 (1.485Gb/s および 2.97Gb/s などのラインレート ) または分数フレームレート信号 (1.485/1.001Gb/s および 2.97/1.001Gbs などのラインレート ) のいずれを受信しているかを判断する RX ビットレート検出機能 このアプリケーションノートでは GTH トランシーバー用制御モジュールのインスタンス GTH チャネルインスタンス および UHD-SDI コアのインスタンス およびそれらに必要な接続を含むラッパーファイルも提供しています これを利用することで SDI インターフェイスを簡単に構築できます このアプリケーションノートで使用される用語については 用語解説 を参照してください 図 1 の簡略ブロック図では さまざまなコンポーネントを組み合わせて SDI インターフェイスを形成していることを示しています UHD-SDI コアは Vivado IP カタログから利用可能な SMPTE UHD-SDI コアを指しています 制御モジュールとは GTH トランシーバーが SMPTE UHD-SDII コアを使用して SDI インターフェイスを実装する際に必要となるさまざまなデバイス固有の機能を実装するモジュールです このアプリケーションノートでは 制御モジュールをソースコード形式で提供します GTH Wizard IP は 1 つの GTHE3_CHANNEL トランシーバーとそれに対応した制御モジュールを含む UltraScale Transceiver Wizard モジュールです このラッパーは Vivado IP カタログから利用可能な UltraScale FPGAs Transceiver Wizard で生成されます SDI Wrapper は UHD-SDI コア GTH ウィザード IP および制御モジュールをインスタンシエートして相互接続するためのラッパーモジュールです このアプリケーションノートでは SDI Wrapper をソースコード形式で提供します SDI Wrapper Support モジュールには 1 つの GTH クワッドに対して SDI Wrapper インスタンスが 1 つと GTHE3_COMMON プリミティブが 1 つ含まれています このラッパーは各クワッドに 1 つインスタンシエートし QPLL クロック 基準クロック ロック出力は 同じクワッドで違うチャネルにある SDI Wrapper へ接続する必要があります SDI アプリケーションで QPLL を使用しない場合 このラッパーは必要ありません X-Ref Target - Figure 1 図 1: 一般的な SDI RX/TX インターフェイスのブロック図 図 1 について説明します 1. オプションのオーディオエンベッダーは単独コアであり UHD-SDI コアには含まれていません また このアプリケーションノートでは説明していません XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 2

3 機能 機能 UHD-SDI コアの製品ガイドには UHD-SDI コアのすべての機能およびコアでサポートされる SMPTE 規格が記載されています 詳細は 製品ガイドを参照してください また 製品ガイドでは さまざまな SDI モードで動作するコアの入力 / 出力のタイミング図も示しています この資料では 多重化されていない SDI データストリームのことを 基本データストリーム と呼びます たとえば HD-SDI 信号は 2 つの基本データストリーム ( 通常 Y データストリームと C データストリーム ) で構成されており 10 ビットの仮想 HD-SDI インターフェイスに多重化されます 同様に 3G-SDI level A 信号も 2 つの基本データストリーム ( データストリーム 1 とデータストリーム 2) で構成されており 10 ビットの仮想 3G-SDI インターフェイスに多重化されます 3G-SDI level B 信号の場合は 各 HD-SDI 信号に Y データストリームと C データストリームがある 4 つの基本データストリームで構成されており 3G-SDI level B インターフェイスに集約されます この 4 つの基本ストリームは 10 ビットの仮想 3G-SDI インターフェイスに多重化され 4 ウェイインターリーブとなります 6G-SDI と 12G-SDI では 1 つの SDI インターフェイスで最大 16 の基本データストリームをインターリーブが可能です この資料では UHD-SDI コア名および UHD-SDI ラッパーのポート名で これらのデータストリームを ds1 ~ ds16 としています UHD-SDI コアの TX および RX は データストリーム入力 / 出力で多重化されていない基本データストリームのみを受信 / 送信します データストリームの多重化 / 逆多重化は UHD-SDI コア内で実行され コアの外で実行するものではありません ただし SD-SDI は例外です ST 259 SD-SDI 規格は Y コンポーネントと C コンポーネントを両方伝搬するシングルデータストリームを定義します これは 複数の EAV と SAV がインターリーブされていないため UHD-SDI コアでは基本データストリームと見なされます UHD-SDI コアは ネイティブビデオフォーマットと基本データストリーム間のマッピングを行いません ユーザーアプリケーションは UHD-SDI トランスミッターへ基本データストリームを送信する前に これらのストリームに対して必要なビデオマッピングを行い その後 UHD-SDI レシーバーによって出力された基本ストリームからビデオイメージを再度構築する必要があります SD-SDI と単一リンク HD-SDI 上のすべてのビデオフォーマット および 3G-SDI level A の 1080p Hz 4:2:2 YCBCR 10 ビットビデオの場合 これらのフォーマットのデータストリームと UHD-SDI コアへ入力または出力される基本データストリームに 1 対 1 となっているため マッピングは必要ありません これは 2 つの HD-SDI ビデオフォーマットが 1 つの 3G-SDI インターフェイスに集約されるデュアルストリームモードの 3G-SDI level B-DS にも当てはまります デュアルリンク HD-SDI 3G-SDI level B-DL マルチリンク 3G-SDI 6G-SDI および 12G-SDI の場合 基本データストリームに対するビデオフォーマットのマッピングが必要であり これは UHD-SDI コアでは行われません 6G-SDI の場合 UHD-SDI コアは最大 8 個の基本データストリームをサポートします 12G-SDI の場合 UHD-SDI コアは最大 16 個の基本データストリームをサポートします SMPTE 6G-SDI および 12G-SDI のマッピングに関する資料では データストリーム という用語が 多重化されたデータストリームと多重化されていない ( 基本 ) データストリームの両方に対して使用されているため 各マッピング方法で使用される基本データストリーム数を判断する際は注意が必要です 伝送されるデータフォーマットによって 6G-SDI インターフェイス上では 4 個または 8 個の基本データストリームがインターリーブされ 12G-SDI インターフェイス上では 8 個または 16 個の基本データストリームがインターリーブされます 16 ウェイインターリーブは デュアルリンク 12G-SDI でのみ可能です UHD-SDI TX は tx_mux_pattern ポートを使用して入力でアクティブなストリーム数を把握する必要があります UHD-SDI RX は 入力される SDI 信号に含まれる基本データストリーム数を自動で判断し それらのデータストリームを適切に逆多重化して 入力信号に含まれる基本データストリーム数を rx_active_stream ポートに示します UltraScale GTH トランシーバーを使用して SDI インターフェイスを実現 このセクションでは UltraScale アーキテクチャ GTH トランシーバーユーザーガイド (UG576) [ 参照 13] を補足する内容を提供します ここでは UHD-SDI アプリケーションに重要な GTH トランシーバーの機能および動作要件を中心に説明します GTH トランシーバーポートの命名は UltraScale アーキテクチャ GTH トランシーバーユーザーガイド (UG576) [ 参照 13] で使用されている規則に従います この規則は ポートのベース名にのみ使用します GTH ウィザードモジュールの作成に UltraScale FPGAs Transceiver Wizard を使用した場合 すべての入力ポートには 名前の後ろに _in が追加され すべての出力ポートには _out が追加されます たとえば この資料で txpllclksel となっているポートは GTH ラッパー内での実際の名前は txpllclksel_in となります XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 3

4 GTH トランシーバーを使用するアプリケーションでは いくつかのクロックが必要です データストリームにデータを追加したり削除してクロックを補正できない SDI プロトコルでは アプリケーション内でクロックがどのように生成および使用されるかに細心の注意が必要です GTH トランシーバーを駆動するには基準クロックが必要です GTH トランシーバークワッドにある PLL ( 位相ロックループ ) が基準クロックを使用して 各トランシーバーの受信部および送信部用のシリアルクロックを生成します GTH トランシーバーの基準クロック で詳しく説明するように GTH トランスミッターのシリアルビットレートは 供給される基準クロックの整数倍となります さらに SDI トランスミッターデータパスの入力に与えられるビデオデータレートは GTH トランスミッターで使用される基準クロック周波数と正確に一致する ( または正確な整数倍となる ) 必要があります したがって 送信されるビデオストリームのデータレートへ周波数が確実に固定するように トランスミッターの基準クロックを生成する設計を行う必要があります UltraScale FPGAs Transceiver Wizard で GT IP を生成するときにトランスミッターのユーザークロッキングネットワークヘルパーブロックを有効化すると GTH トランスミッターのクロッキングはこのブロックで管理されます ヘルパーブロック内の BUFG_GT で txusrclk および txusrclk 出力が駆動され その周波数は GTH トランスミッターの txdata ポートへ供給されるデータのワードレートと同じになります txusrclk および txusrclk は シリアルクロックが PLL によってワードレートと等しくなるように分周されることで GTH トランスミッター内で生成されます トランスミッターのユーザークロッキングネットワークヘルパーブロックの詳細は UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガイド (PG182) [ 参照 15] を参照してください ただし GTH レシーバーの基準クロックは入力される SDI 信号のビットレートと正確な関係を持つ必要はありません これは GTH レシーバーのクロックデータリカバリ (CDR) ユニットが 最大 ±1,250ppm ( 6.6Gbps) つまり基準クロック周波数による設定どおりに公称ビットレートから ±200ppm (> 8.0Gbps) でビットレートを受信できるためです このため 入力される SDI 信号と正確な周波数関係を持たないローカルのオシレーターでレシーバー基準クロックが生成可能になります GTH レシーバーは 入力される SDI ビットレートに周波数ロックされたリカバリクロックを生成します これらのクロックは GTH Wizard IP からレシーバーのユーザークロッキングネットワークヘルパーブロックの rxusrclk および rxusrclk2 ポートに出力され BUFG_GT で駆動されます 後ほど詳しく説明しますが rxusrclk と rxusrclk2 は SD-SDI 信号を受信する場合を除いて すべての SDI ラインレートを受信する際の真のリカバリクロックです SDI アプリケーションには もう 1 つクロックが必要です これはフリーランニングの固定周波数クロックであり GTH トランシーバーの DRP ( ダイナミックリコンフィギュレーションポート ) 用クロックとして使用されます 通常 これと同じクロックが SDI Wrapper の制御モジュールに供給され タイミング制御に使用されます このクロックの有効な周波数範囲は UltraScale FPGAs Transceiver Wizard で示され 通常は ~ 200MHz です このクロックの周波数は SDI アプリケーションのその他のクロックやデータレートに対して特定の関係持つ必要はありません SDI モードを変更した際に このクロックの周波数を変更しないでください 常に同じ公称周波数で動作する必要があります また SDI アプリケーションが動作中は停止できません このクロックは デバイス内のすべての SDI インターフェイスで使用可能です rxusrclk および txusrclk の周波数は SDI モードや GTH トランシーバーの rxdata および txdata のポートの幅に依存します この関係は GTH トランシーバーのアーキテクチャによって固定されています 場合によって データストリームのデータレートがクロック周波数よりも低くなることがあるため RX と TX はクロックイネーブルを使用して データストリーム伝送データレートを調整します 表 1 に 各 SDI モードにおけるアクティブデータストリーム数 rxdata/txdata ポート幅 rxoutclk/txoutclk 周波数 およびクロックイネーブルを示します クロックイネーブルは 2 データワードサイクルでクロックイネーブルがアサート中のクロック数として表わされます つまり 1/1 はクロックサイクルごとにクロックイネーブルがアサートされることを意味し 2/2 は 2 クロックサイクルに 1 回クロックイネーブルがアサートされ (50% デューティサイクル ) 4/4 は 4 クロックサイクルに 1 回クロックイネーブルがアサートされること (25% デューティサイクル ) を意味します また 5/6 は 5 クロックまたは 6 クロックサイクルのいずれかに 1 回クロックイネーブルがアサートされ 平均すると 5.5 クロックサイクルに 1 回となります ( クロックイネーブルのハイパルス間に 1 インスタンスの 5 クロックサイクル それに続いてクロックイネーブルのハイパルス間に 1 インスタンスの 6 クロックサイクルが来るパターンが繰り返される ) XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 4

5 表 1: クロック周波数とクロックイネーブルの要件 SDI モード アクティブなデータストリーム RX/TXDATA ビット幅 RX/TXOUTCLK 周波数クロックイネーブル SD-SDI MHz 5/6 HD-SDI または 74.25/1.001MHz 1/1 3G-SDI A または 148.5/1.001MHz 1/1 3G-SDI B または 148.5/1.001MHz 2/2 6G-SDI または 148.5/1.001MHz 1/1 6G-SDI または 148.5/1.001MHz 2/2 12G-SDI または 297/1.001MHz 2/2 12G-SDI または 297/1.001MHz 4/4 GTH トランシーバーの基準クロック UltraScale GTH トランシーバーはクワッドに分けられています 各クワッドには 4 つの GTHE3_CHANNEL トランシーバープリミティブ および 2 つのクワッド PLL (QPLL0 と QPLL1) を含む GTHE3_COMMON プリミティブが 1 つあります ( 図 2 参照 ) QPLL0 および QPLL1 で生成されたクロックは クワッド内の 4 つすべてのトランシーバーへ分配されます 各 GTHE3_CHANNEL には チャネル PLL (CPLL) と呼ばれる専用の PLL があり そのトランシーバーの RX および TX へのみクロックを供給できます クワッド内の各 RX と TX ユニットでは クロックソースとして QPLL0 または QPLL1 のいずれかまたは両方を使用すべきか または CPLL を使用すべきかを個別に設定できます さらに この RX/TX ユニットは クロックソースを QPLL0 QPLL1 CPLL 間で動的に切り換え可能です このコンフィギュレーションと動的切り換え機能は SDI アプリケーションに特に有効です 重要 : CPLL と QPLL はそれぞれ 最大ラインレートが 6.25Gbps および Gbps です つまり QPLL は 12G-SDI まで対応できますが CPLL は 6G-SDI ラインレートまでしかサポートできません -1 スピードグレードの UltraScale GTH トランシーバーの場合 CPLL の最大ラインレートは 4.25Gbps であるため サポートは最大 3G-SDI に制限されることに留意してください この制限があるのは -1 スピードグレードデバイスのみです 詳細は Kintex UltraScale アーキテクチャデータシート : DC 特性および AC スイッチ特性 (DS892) [ 参照 16] の GTH トランシーバーのスイッチ特性 のセクションを参照してください XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 5

6 X-Ref Target - Figure 2 一般的な UHD-SDI アプリケーションでは 9 つの異なるビットレートをサポートするために GTH トランシーバーが必要です 270Mb/s (SD-SDI の場合 ) 1.485Gb/s (HD-SDI の場合 ) 1.485/1.001Gb/s (HD-SDI の場合 ) 2.97Gb/s (3G-SDI の場合 ) 2.97/1.001Gb/s (3G-SDI の場合 ) 5.94Gb/s (6G-SDI の場合 ) 5.94/1.001Gb/s (6G-SDI の場合 ) 11.88Gb/s (12G-SDI の場合 ) 11.88/1.001Gb/s (12G-SDI の場合 ) 図 2 : UltraScale GTH トランシーバーのクワッドコンフィギュレーション GTH トランシーバーの RX 部に含まれる CDR ユニットは 6.6Gb/s 未満の基準周波数から最大 ±1250ppm のビットレートを受信できます HD-SDI 3G-SDI 6G-SDI および 12G-SDI には 正確に 1000ppm 異なる 2 つのビットレートがあります HD-SDI 3G-SDI および 6G-SDI の場合 1 つの基準クロック周波数を使用して両方のビットレートを受信すること XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 6

7 が可能です これと同じ基準クロック周波数で SD-SDI の受信も可能です つまり 12G-SDI を除くすべての SDI モードで必要な RX 基準クロック周波数は 1 つのみです 一方 12G-SDI レートの場合は CDR ユニットの基準クロック周波数に対する許容誤差がわずか ±200ppm であるため 2 つの 12G-SDI ビットレートを受信するには 2 つの異なる基準クロック周波数が必要二なります これらの 2 つの基準クロック周波数は通常 148.5MHz で 11.88Gb/s を受信し 148.5/1.001MHz で 11.88/1.001Gb/s を受信します このため ほとんどの SDI アプリケーションでは 2 つの基準クロックを GTH クワッドへ供給します 通常 2 つの基準クロックの組み合わせは 148.5MHz と 148.5/1.001MHz です このアプリケーションノートの説明ではこの 148.5MHz と 148.5/1.001MHz 基準クロック周波数を使用します GTH トランシーバーの基準クロックソースは アプリケーションによって異なります レシーバーの基準クロックソースは 入力される SDI ビットレートと正確に一致する必要がないため ローカルのオシレーターで対応できます 一方 GTH トランスミッターのラインレートは 常に基準クロック周波数の整数倍であるため トランスミッターの基準クロック周波数は 送信されるデータのデータレートと正確な関係を持つ必要があります ほとんどの場合 トランスミッターの基準クロックはゲンロック PLL で生成されるため スタジオのビデオ基準信号から GTH トランスミッターラインレートを生成します SDI パススルー接続などの場合 トランスミッターラインレートは SDI 信号を受信している GTH レシーバーのリカバリクロックから生成されます そのような場合 トランスミッターの基準クロックとして使用する前に 外部 PLL でリカバリクロックのジッターを軽減する必要があります 一般的な UHD-SDI アプリケーションでは 2 つの基準クロックが QPLL0 と QPLL1 に接続されます クワッド内の各トランシーバーの RX ユニットと TX ユニットは その時点で必要なビットレートに従って PLL クロックを動的に切り替えます PLL の TX および RX ユニットシリアルクロックソースの選択には GTH txsysclksel および rxsysclksel ポートを使用します 図 3 に この一般的な SDI アプリケーションのコンフィギュレーションを示します 図 3 では インプリメンテーションで動的に使用されないマルチプレクサーを配線に置き換えており クワッド間の基準クロック配線は表示していません 1 つの 12G-SDI ビットレートのみサポートするアプリケーションでは 一方の基準クロックを CPLL へ接続し もう一方を QPLL0/1 へ接続することができます また 各 GTH RX および TX ユニットには 選択したクロックを指定可能な 2 の累乗の整数値で分周するシリアルクロック分周器があります これによって たとえば クワッド内のすべての RX ユニットは QPLL からの同じクロック周波数を使用しながらも 異なるシリアルクロック分周値を用いて異なるラインレートで動作できるようになります 3G-SDI 6G-SDI および 12G-SDI ビットレートは HD-SDI 3G-SDI および 6G-SDI ビットレートの 2 倍の速度となるため この機能は SDI インターフェイスに非常に有効です 270Mb/s SD-SDI の場合 GTH トランシーバーは 11 倍のオーバーサンプリングテクニックによって 3G-SDI ラインレートで動作します RX および TX ユニットでは 2 の累乗値で指定した異なる 4 つの分周器を用いてクロックソースを分周できるため 基準クロック周波数を 2 つ使用するだけですべての SDI ビットレートの送受信が可能です RX および TX ユニットのシリアルクロック分周器の値は RXOUT_DIV および TXOUT_DIV 属性を利用して DRP から動的に変更可能です 図 3 に示すコンフィギュレーションは ほとんどの SDI アプリケーションに最適なソリューションです その理由は 次のとおりです レシーバーは QPLL0 と QPLL1 を使用してすべての SDI ビットレートを受信でき 基準クロックから生成されたシリアルクロックをクワッド内のすべてのレシーバーへ供給します トランスミッターは サポートされているすべての SDI ビットレートで送信するのに必要な 2 つのシリアルクロックを得るため QPLL0 と QPLL1 からのクロックを動的に切り換えることができます クワッド内の 4 つのレシーバーと 4 つのトランスミッターは完全に独立しているため それぞれ異なる SDI ビットレートで動作でき ほかの RX や TX ユニットへ干渉することなくビットレートを動的に切り換えることも可能です ゲンロック機能を搭載したアプリケーションでは 最新のゲンロック PLL が同期基準入力信号から 2 つの基準クロック周波数を同時に提供できます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 7

8 X-Ref Target - Figure 3 図 3 について説明します 図 3:SDI 用の GTH 基準クロックのインプリメンテーション ( 一般的な場合 ) 1. GTH RX インターフェイスおよび内部ビット幅は 現時点での SDI モードとデータストリームのインターリーブパターンに応じて RX_DATA_WIDTH および RX_INT_DATAWIDTH DRP 属性を使用して動的に変更されます 2. GTH TX インターフェイスおよび内部ビット幅は 現時点での SDI モードとデータストリームのインターリーブパターンに応じて TX_DATA_WIDTH および TX_INT_DATAWIDTH DRP 属性を使用して動的に変更されます SDI アプリケーションによっては SDI トランスミッターが同じ公称ビットレートで送信していても わずかに異なるビットレートで動作する場合があります これは 各 TX のビットレートが 関連する SDI RX で受信される SDI のビットレートと正確に一致しなければならない SDI ルーターで見られます 同じ公称ビットレートで送信する 2 つのトランスミッターの実際のビットレートには 数 ppm の差があります このようなアプリケーションは 各 TX ユニットが CPLL を排他的に使用できる UltraScale GTH のクワッドアーキテクチャによってサポートできますが これには各 CPLL に専用の基準クロック周波数を供給する必要があり その上 使用可能な GTH 基準クロック入力数には制限があります 基準クロック入力は各 GTH クワッドに 2 つずつあります クワッドは上下クワッドからの基準クロックを使用できるため デバイス内の複数の GTH クワッドに 5 つの異なる基準クロック周波数 (RX ユニットに 1 つ 4 つの TX ユニットに 4 つ ) を供給できますが デバイス内の GTH TX すべてが独自の基準クロックを持つには 基準クロック入力数が足りません このような場合 そのシリアルクロックの周波数から ± 数百 ppm で GTH TX をプルできる PICXO テクニックが非常に有効です このため 各 SDI TX のビットレートが受信される SDI 信号のビットレートと個別にロックする必要があるアプリケーションでは 図 3 に示す一般的な基準クロックを利用して実装し さらに PICXO テクニックを利用して各 GTH TX にそれぞれの SDI トランスミッターの正確なビットレートを設定します このアプリケーションノートでは PICXO について説明していません PICXO の使用については ザイリンクステクニカルサポートまでお問い合わせください XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 8

9 リセット GTH トランシーバーには UltraScale アーキテクチャ GTH トランシーバーユーザーガイド [ 参照 13] で説明されているとおり 非常に特殊なリセット要件があります GTH トランシーバーでは PLL のリセットと GTH トランシーバーのリセット (gttxreset および gtrxreset) を注意深く調整する必要があります この調整は UltraScale FPGAs Transceiver Wizard を使用して GTH トランシーバーを生成する際に クロッキングネットワークとリセットコントローラーヘルパーブロックを有効にすると簡単になります リセットコントローラーヘルパーブロックが 複雑な GTH トランシーバーのリセットシーケンスに対応するよう調整します このアプリケーションノートで提供する制御モジュールは すべての UHD-SDI コアコンフィギュレーションアップデート用のリセットアサーションを管理し GTH トランシーバーが確実かつ適切に動作するようにします GTH TX のリセット UltraScale FPGAs Transceiver Wizard は GTH トランシーバーの TX 部をリセットするために 3 つの方法を提供します gtwiz_reset_all_in : アクティブ High 信号であり TX と RX 部の PLL および GTH トランシーバーのアクティブなデータ方向をリセットするユーザー信号です このリセットは TX および RX GTH 部に影響を与えるため 通常はスタートコンディション中はアサートされます gtwiz_reset_tx_pll_ および _datapath_in : アクティブ High 信号であり GTH トランシーバーの TX データ方向および関連する PLL をリセットするユーザー信号です 特に TX PLL の基準クロックが変更されたときには このリセットが有用です gtwiz_reset_tx_datapath_in : アクティブ High 信号であり トランシーバープリミティブの TX データ方向をリセットするユーザー信号です このリセット信号は tx_mode tx_m および tx_mux_pattern ポートの少なくとも 1 つが変更されると SDI TX アプリケーションに対してアサートされます QPLL と CPLL を使用する場合 これらの 2 種類の PLL の動作周波数範囲は異なります SDI アプリケーションでは QPLL からのシリアルクロックは CPLL からのシリアルクロックの 2 倍の周波数となります このため SDI Wrapper の tx_m 入力ポートが変更されて 2 つの PLL 間で GTH TX の動的切り替えが要求されるとき トランスミッターが同じ SDI モードのままの場合には TXOUT_DIV DRP 属性を介してシリアルクロック分周器を動的に変更する必要があります たとえば シリアルクロックソースとして QPLL を使用する 1.485Gb/s の HD-SDI ビットレートから シリアルクロックソースとして CPLL を使用する 1.485/1.001 の HD-SDI ビットレートへ切り替える場合には txsysclksel ポートと TXOUT_DIV DRP 属性の両方を変更する必要があります ただし SDI Wrapper の tx_mode 入力ポートで選択された SDI モードが tx_m ポートと同時に変更される場合 シリアルクロック分周器の変更が必要とは限りません たとえば CPLL を使用する HD-SDI モードから QPLL を使用する 3G-SDI モードへ変更する場合 CPLL から QPLL への変更ではシリアルクロック周波数が必然的に増加し 結果としてラインレートが 2 倍になるため Txrate ポートを変更する必要はありません tx_mode ポートは GTH トランシーバーのデータ幅に影響します たとえば 6G-SDI または 12G-SDI の場合 内部データ幅とインターフェイスデータ幅は 4 バイトと 40 ビットにそれぞれ変更する必要があります ビットレートがより低い場合は これらのパラメーターは 2 バイトおよび 20 ビットに設定されます UHD-SDI TX と GTH トランシーバーの TX 部のデータ幅は常に一致する必要があります これらは GTH トランシーバー内のインターフェイス幅と内部データ幅の設定に使用する RX_DATA_WIDTH および RX_INT_DATAWIDTH DRP 属性を変更して一致させることができます tx_mode と tx_m は SDI Wrapper の独立した入力ポートであるため これらのポートの一方が変更された場合には txsysclksel ポート TXOUT_DIV RX_DATA_WIDTH および RX_INT_DATAWIDTH DRP 属性が動的に変更される前に わずかなセトリング遅延が適用されます この遅延によって もう一方のポートが変更されるまでに短い時間が与えられるため この間に TX 制御ロジックはこれらのポートおよび DRP 属性の変更が必要であるかを判断します SDI Wrapper には TX 部のリセット入力が 2 つあります tx_rst_in : High にアサートされると UHD-SDI コアの SDI TX データパス TX コントローラーモジュール および GTH トランシーバーの TX 部をリセットします gth_wiz_reset_tx_pll_and_datapath_in : High にアサートされると TX に関連する PLL をリセットしてから GTH トランシーバーの TX 部をリセットします GTH RX のリセット TX 部と同様に ユーザーアプリケーションでは このセクションで説明したすべての RX リセットと動的変更動作が互いに干渉しないように SDI 制御モジュールによって慎重に調整されます UltraScale FPGAs Transceiver Wizard は GTH トランシーバーの RX 部をリセットするために 3 つの方法を提供します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 9

10 gtwiz_reset_all_in : アクティブ High 信号であり TX と RX 部の PLL および GTH トランシーバーのアクティブなデータ方向をリセットするユーザー信号です このリセットは TX および RX GTH 部に影響を与えるため 通常はスタートコンディション中はアサートされます gtwiz_reset_rx_pll_and_datapath_in : アクティブ High 信号であり GTH トランシーバーの RX データ方向および関連する PLL をリセットするユーザー信号です 特に RX PLL の基準クロックが変更されたときには このリセットが有用です gtwiz_reset_rx_datapath_in : アクティブ High 信号であり トランシーバープリミティブの RX データ方向をリセットするユーザー信号です このリセット信号は rx_mode rx_m および rx_active_streams ポートの少なくとも 1 つが変更されると SDI RX アプリケーションに対してアサートされます CPLL および QPLL は 6.6Gbps ビットレートに対して ±1250ppm の許容範囲があるため 1 つの CPLL または QPLL で SD-SDI から 6G-SDI のすべてのビットレート (0ppm および 1000ppm) をサポートします 一方 12G-SDI の場合は 2 つのビットレートをサポートするために GTH トランシーバーの QPLL0 と QPLL1 の両方が必要になります つまり 12G-SDI アプリケーションでは 11.88Gbps から 11.88/1.001Gbps へ またはその逆方向へ切り替える際に rxsysclksel を変更する必要があります SDI モード (SD-SDI HD-SDI 3G-SDI 6G-SDI および 12G-SDI) を変更すると 4 つの項目 (rxcdrhold ポート イコライゼーションの有効化 / 無効化 (LPM および DFE) RXCDR_CFG 属性 RXOUT_DIV RX_DATA_WIDTH および RX_INT_DATA_WIDTH 属性 ) を 1 つまたは複数を変更する必要があります RXCDR_CFG2 RXOUT_DIV RX_DATA_WIDTH および RX_INT_DATA_WIDTH 属性は DRP を介して変更されます RX SDI モードが SD-SDI の場合は rxcdrhold ポートを High にアサートします LPM および DFE は SD-SDI の場合に無効に設定し その他の SDI ラインレートの場合に有効に設定します HD-SDI 3G-SDI 6G-SDI および 12G-SDI へ切り替えて現在のラインレートに CDR を最適化すると RXCDR_CFG2 属性が変更されます RXOUT_DIV 属性は GTH RX 用のシリアルクロック分周器を制御します GTH RX は これらの 4 つのいずれかに動的変更された後 GT Wizard の gtwiz_reset_rx_datapath_in ポートを使用してリセットする必要があります SDI モードの変更シーケンスでこれらの 1 つ以上が変更される場合は すべての変更が行われた後に gtwiz_reset_rx_datapath_in を 1 サイクル間アサートする必要があります SDI Wrapper には RX 部のリセット入力が 2 つあります rx_rst_in : High にアサートされると UHD-SDI コアの SDI RX データパス RX コントローラーモジュール および GTH トランシーバーの RX 部をリセットします gth_wiz_reset_rx_pll_and_datapath_in : High にアサートされると RX に関連する PLL をリセットしてから GTH トランシーバーの RX 部をリセットします SDI アプリケーションの GTH PLL の使用例 このセクションでは SDI アプリケーションで使用される PLL およびトランシーバーの一般的なコンフィギュレーションについて説明します すべてのコンフィギュレーションについて言及しているわけではありませんが PLL のリセット信号とロック信号の適切な接続については十分に説明しています SDI Wrapper には 4 つの固定パラメーターがあり これらは QPLL からのシリアルクロックソース または CPLL からのシリアルクロックソースを指定します PLL クロックの配線は これらの属性で制御されません これらは 適切な RX および TX シリアルクロック分周器の値を計算し TX の場合は rx_m および tx_m の現在の値に基づいて GTH Wizard IP の rxpllclksel_in および txpllclksel_in を駆動する値を計算するために使用されます これらの 4 つのパラメーターは 2 ビットバイナリ値で 次の説明のとおりに指定する必要があります RXPLLCLKSEL_RX_M_0 パラメーターは rx_m が Low のときの GTH RX のクロックソースに基づいて 2'b00 (CPLL) 2'b11 (QPLL0) あるいは 2'b10 (QPLL1) に設定します RXPLLCLKSEL_RX_M_1 パラメーターは rx_m が high で rx_mode が 3'b110 (12G 11.88/1.001Gb/s) のときの GTH RX のクロックソースに基づいて 2'b00 (CPLL) 2'b11 (QPLL0) あるいは 2'b10 (QPLL1) に設定します TXPLLCLKSEL_TX_M_0 パラメーターは tx_m が Low のときの GTH TX のクロックソースに基づいて 2'b00 (CPLL) 2'b11 (QPLL0) あるいは 2'b10 (QPLL1) に設定します TXPLLCLKSEL_TX_M_1 パラメーターは tx_m が High のときの GTH TX のクロックソースに基づいて 2'b00 (CPLL) 2'b11 (QPLL0) あるいは 2'b10 (QPLL1) に設定します RX クロックには 2 つのパラメーターがあり SDI Wrapper の rx_m ポートを使用する 2 つの PLL クロックソース間の動的切り替えに対応します RXPLLCLKSEL_RX_M_0 は tx_m が Low のときに GT Wizard IP の rxpllclksel_in を駆動するために使用され RXPLLCLKSEL_RX_M_1 は rx_m が High で rx_mode が 3'b110 (12G-SDI /1.001) の場合に使用されます RX XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 10

11 PLL を動的に切り替えないアプリケーションでは RX PLL のクロックソースに従って RXPLLCLKSEL_RX_M_0 と RXPLLCLKSEL_RX_M_1 に同じ値を指定してください RX と同様 TX クロックには 2 つのパラメーターがあり SDI Wrapper の tx_m ポートを使用する 2 つの PLL クロックソース間の動的切り替えに対応します TXPLLCLKSEL_TX_M_0 は tx_m が Low のときに txpllclksel_in を駆動するために使用され TXPLLCLKSEL_TX_M_1 は tx_m が High のときに使用されます TX PLL を動的に切り替えないアプリケーションでは TX PLL のクロックソースに従って TXPLLCLKSEL_TX_M_0 と TXPLLCLKSEL_TX_M_1 に同じ値を指定してください 使用モデル 1 : クワッド内の 1 つのトランシーバーがアクティブで RX と TX は QPLL0/QPLL1 を動的に切り替える場合 図 4 に示すこの使用モデルでは クワッド内にアクティブなトランシーバーが 1 つあり RX と TX シリアルクロックは QPLL0 または QPLL1 のいずれかで供給されます この使用モデルは 両方の 12G-SDI ビットレートがサポートされている場合に推奨されるクロッキングです 次の接続およびコンフィギュレーションが必要です 基準クロック 148.5MHz および MHz を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します gth_drpclk_in は GTH Wizard IP の生成中に指定されたクロックに接続します このアプリケーションノートでは 27MHz です gth_wiz_reset_tx_pll_and_datapath_in および gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは QPLL0 および QPLL1 への基準クロックソースが安定している場合のみ Low になる必要があります SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パラメーターは 2'b11 (QPLL0) に設定します SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パラメーターは 2'b11 (QPLL0) に設定します SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パラメーターは 2'b10 (QPLL1) に設定します 基準クロックの変更や中断によって QPLL0 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll0_reset_in 入力をアサートします 基準クロックの変更や中断によって QPLL1 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll1_reset_in 入力をアサートします X-Ref Target - Figure 4 図 4:PLL 使用モデル 1 およびモデル 2 XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 11

12 使用モデル 2 : クワッド内の 1 つのトランシーバーがアクティブで RX が QPLL1 で TX が QPLL0 によってクロック供給される場合 図 4 に示すこの使用モデルでは クワッド内にアクティブなトランシーバーが 1 つあり GTH RX は QPLL1 でクロック供給され GTH TX は QPLL0 でクロック供給されます 次の接続が必要です 基準クロックを gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します gth_drpclk_in は GTH Wizard IP の生成中に指定されたクロックに接続します このアプリケーションノートでは 27MHz です gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは QPLL0 への基準クロックソースが安定している場合のみ Low になる必要があります gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは QPLL1 への基準クロックソースが安定している場合のみ Low になる必要があります SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パラメーターは 2'b11 (QPLL0) に設定します SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パラメーターは 2'b11 (QPLL0) に設定します 基準クロックの変更や中断によって QPLL0 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll0_reset_in 入力をアサートします 基準クロックの変更や中断によって QPLL1 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll1_reset_in 入力をアサートします 使用モデル 3 : クワッド内の複数のトランシーバーがアクティブで RX と TX は QPLL0/QPLL1 を動的に切り替える場合 図 5 に示すこの使用モデルには クワッド内に複数のアクティブトランシーバーがあります すべての GTH レシーバーは QPLL からクロック供給されます すべての GTH トランスミッターは QPLL0 と QPLL1 で個別に切り替え可能です このモデルは 図 3 に示す一般的な使用モデルに当てはまります この使用モデルでは SDI Wrapper Support が 1 つインスタンシエートされており GTHE3 Common Primitive と関連する差動クロックバッファーを含みます その他の SDI チャネル用に複数の SDI Wrapper ( 最大 3 つ ) がインスタンシエートされます この使用モデルは クワッド内で複数のトランシーバーがアクティブで いずれも SDI インターフェイスを実装している一般的な例です クワッド内のアクティブな GTH RX および TX ユニットは QPLL0 または QPLL1 からのシリアルクロックを使用します 図 5 に この使用例のモジュールを示します この使用モデルでは SDI Wrapper Support が QPLL0 および QPLL1 マスターとして指定され GTH Common Primitive の QPLL0RESET および QPLL1RESET ポートを制御します SDI Wrapper は QPLL リセットを制御しませんが SDI Wrapper Support の QPLL0/QPLL1 ロック出力をモニターします 次の接続が必要です 基準クロック 148.5MHz および MHz を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します gth_drpclk_in は GTH Wizard IP の生成中に指定されたクロックに接続します このアプリケーションノートでは 27MHz です gth_wiz_reset_tx_pll_and_datapath_in および gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは QPLL0 および QPLL1 への基準クロックソースが安定している場合のみ Low になる必要があります SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_0 パラメーターは 2'b11 (QPLL0) に設定します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 12

13 SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_1 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_0 パラメーターは 2'b11 (QPLL0) に設定します SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_1 パラメーターは 2'b10 (QPLL1) に設定します 基準クロックの変更や中断によって QPLL0 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll0_reset_in 入力をアサートします 基準クロックの変更や中断によって QPLL1 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll1_reset_in 入力をアサートします SDI Wrapper Support の qpll0/1_clk qpll0/1_refclk および qpll0/1_lock 出力ポートは SDI Wrapper の対応するポートへ接続します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 13

14 X-Ref Target - Figure 5 図 5:PLL 使用モデル 3 およびモデル 4 XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 14

15 使用モデル 4 : 1 つのクワッド内で複数のトランシーバーがアクティブで すべての RX が QPLL1 を使用し すべての TX が QPLL0 を使用する場合 図 5 に示すこの使用モデルでには クワッド内に複数のアクティブトランシーバーがあります すべてのレシーバーは QPLL1 からクロック供給されます 各トランスミッターは QPLL0 からのみクロック供給されます 次の接続が必要です 基準クロックを gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します gth_drpclk_in は GTH Wizard IP の生成中に指定されたクロックに接続します このアプリケーションノートでは 27MHz です gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは QPLL0 への基準クロックソースが安定している場合のみ Low になる必要があります gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは QPLL1 への基準クロックソースが安定している場合のみ Low になる必要があります SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_0 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_1 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_0 パラメーターは 2'b11 (QPLL0) に設定します SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_1 パラメーターは 2'b11 (QPLL0) に設定します 基準クロックの変更や中断によって QPLL0 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll0_reset_in 入力をアサートします 基準クロックの変更や中断によって QPLL1 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll1_reset_in 入力をアサートします SDI Wrapper Support の qpll0/1_clk qpll0/1_refclk および qpll0/1_lock 出力ポートは SDI Wrapper の対応するポートへ接続します 使用モデル 5 : クワッド内の 1 つのトランシーバーがアクティブで RX は QPLL1 を使用し TX は QPLL0/QPLL1 を動的に切り替える場合 図 6 に示すこの使用モデルでは QPLL が 1 つしか使用されないため TX および RX では 1 つの 12G-SDI ビットレートしかサポートされません 6G-SDI の両方のビットレートおよびそれより低いラインレートは TX および RX でサポートされます TX は QPLL1 と CPLL との間で切り替え可能ですが RX は 6.6Gbps のビットレートで許容誤差が ±1250ppm の QPLL1 を使用します 次の接続が必要です 1 つの基準クロックを gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポートへ接続します 1 つの基準クロックを gth_cpll_refclk_p_in および gth_cpll_refclk_n_in ポートへ接続します gth_qpll0_refclk_p_in および gth_qpll0_refclk_n_in ポートは 0 に接続します gth_drpclk_in は GTH Wizard IP の生成中に指定されたクロックに接続します このアプリケーションノートでは 27MHz です gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは QPLL1 および CPLL への基準クロックソースが安定している場合のみ Low になる必要があります gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは QPLL1 への基準クロックソースが安定している場合のみ Low になる必要があります SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パラメーターは 2'b10 (QPLL1) に設定します SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パラメーターは 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パラメーターは 基準クロックの接続に応じて 2'b00 (CPLL) または 2'b10 (QPLL1) のいずれかに設定する必要があり TXPLLCLKSEL_TX_M_0 では使用されません XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 15

16 基準クロックの変更や中断によって QPLL1 をリセットしなければならない場合は SDI Wrapper Support の gth_qpll1_reset_in 入力をアサートします X-Ref Target - Figure 6 図 6:PLL の使用モデル 5 SDI 電気的インターフェイス GTX トランシーバーから /GTH トランシーバーへ送信されるシリアル信号を SDI の電気的規格へ変換するには 外部に SDI ケーブルイコライザーとケーブルドライバーが必要です 外部 SDI ケーブルイコライザーを使用し シングルエンドの 75 SDI 信号を GTH トランシーバーのレシーバー入力信号要件に対応する 50 差動信号へ変換する必要があります 複数のメーカーが それぞれに適切な SDI ケーブルイコライザーを提供しています これらのケーブルイコライザーの差動出力は 同相電圧の差が生じるため 通常 AC カップリングを用いて GTH レシーバー入力信号と接続する必要があります 図 7 に 標準的な SDI ケーブルイコライザーと GTH レシーバーのインターフェイス例を示します 重要 : 外部 SDI ケーブルイコライザーと GTH RX のシリアル入力間の AC カップリングキャパシタの電気容量値は SDI パソロジカル信号を減衰させることなく渡すのに十分な大きさが必要です 少なくとも 1.0 F 以上の AC カップリングキャパシタが必要で 推奨値は 4.7 F です GTH RX の差動入力には ビルトインの差動終端があります UltraScale アーキテクチャ GTH トランシーバーユーザーガイド [ 参照 13] で説明しているとおり SDI アプリケーションの GTH RX 入力の RX 終端使用モードは 3 が推奨されています SDI アプリケーションの場合 GTH の内部プログラム可能な終端電圧は 800mV に設定します X-Ref Target - Figure 7 図 7 について説明します 図 7:SDI ケーブルイコライザーと GTH レシーバー入力のインターフェイス XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 16

17 1. SDI ケーブルイコライザーと BNC コネクタ間のネットワークに関しては SDI ケーブルイコライザーのメーカーが提供する資料を参照してください 同様に GTH トランスミッターの差動シリアル出力は SDI ケーブルドライバーの入力へ接続し 通常は AC カップリングを用いて接続します ( 図 8 参照 ) ケーブルドライバーは 電気的特性が SDI 仕様を満たすように GTH トランスミッターからの差動信号をシングルエンド信号へ変換します 通常 SDI ケーブルドライバーには スルーレートを設定するためのスルーレート制御入力があります SD-SDI のスルーレート要件は HD-SDI 3G-SDI 6G-SDI および 12G-SDI のスルーレート要件とは大きく異なります SDI ケーブルドライバーのスルーレート制御入力は通常 FPGA で制御されますが このアプリケーションノートでは 12G-SDI FMC 拡張カードを使用してケーブルドライバーのスルーレートを内部制御しています このアプリケーションノートで提供する制御モジュールは その他の使用例で外部の SDI ケーブルドライバーで使用するためのスルーレート制御入力を生成します 重要 : GTH TX シリアル出力と外部 SDI ケーブルドライバーの入力間の AC カップリングキャパシタの電気容量値は SDI パソロジカル信号を減衰させることなく渡すのに十分な大きさが必要です 少なくとも 1.0 F 以上の AC カップリングキャパシタが必要で 推奨値は 4.7 F です X-Ref Target - Figure 8 図 8 について説明します 1. SDI ケーブルイコライザーと BNC コネクタ間のネットワークに関しては SDI ケーブルイコライザーのメーカーが提供する資料を参照してください SD SDI の考察 SD SDI の受信 270Mb/s ビットレートの SD-SDI は GTH RX でサポートされている最低ラインレートよりも低くなります 270Mb/s の SD-SDI を受信するには GTH RX を非同期オーバーサンプラーとして使用し ビットトランザクションが行われる場所を問わずに 270Mb/s の 11 倍 (2.97 ギガサンプル / 秒 ) で SD-SDI ビットストリームをサンプリングします GTH RX のクロックデータリカバリ (CDR) ユニットが GTH トランシーバーの rxcdrhold 入力ポートを High にアサートし 基準クロックにロックします これにより CDR が低速な SD-SDI 信号にロックすることを防ぎ SD-SDI 信号のオーバーサンプリングをより一定して実行できます SD-SDI 信号を受信する際には LPM ( 低電力モード ) の自動適応機能と DFE ( 判定帰還等化 ) を無効にする必要があります 低速ビットレートでの長いランレングスは イコライザーに問題が生させます LPM の自動適応機能は GTHE3_CHANNEL プリミティブの次のポートを High にアサートして無効化します RXLPMGCOVRDEN RXLPMHFOVRDEN RXLPMLFKLOVRDEN RXLPMOSOVRDEN RXOSOVRDEN 図 8:SDI ケーブルドライバーと GTH トランスミッター出力のインターフェイス DFE イコライゼーションは GTHE3_CHANNEL プリミティブの次のポートを High にアサートして無効化します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 17

18 RXDFEAGCOVRDEN RXDFELFOVRDEN RXDFETAP2OVRDEN RXDFETAP3OVRDEN RXDFETAP4OVRDEN RXDFETAP5OVRDEN RXDFETAP6OVRDEN RXDFETAP7OVRDEN RXDFETAP8OVRDEN RXDFETAP9OVRDEN RXDFETAP10OVRDEN RXDFETAP11OVRDEN RXDFETAP12OVRDEN RXDFETAP13OVRDEN RXDFETAP14OVRDEN RXDFETAP15OVRDEN RXDFEUTOVRDEN UltraScale FPGAs Transceiver Wizard を使用する場合 GTH Wizard IP のこれらのポートはデフォルトで無効に設定されているため 手動で有効化する必要があります これらのポートは ポート名の末尾に _in が付加された形で [Structural Options] タブに表示されます 最も容易な方法は GTH ラッパーの rxcdrhold_in ポートを GTH Wizard IP のこれらのポートへ接続することです レシーバーが SD-SDI モードのとき rxcdrhold_in ポートは SDI 制御ロジックによって High に駆動されるため この方法で接続されている場合 これらの 3 つのポートは High に駆動されます FPGA のプログラマブルロジックに実装されたデータリカバリユニット (DRU) は GTH RX でオーバーサンプルされた SD-SDI データを解析し 各ビットの最も確実な値を決定して回復データを出力します この DRU は UHD-SDI コアの一部ではなく このアプリケーションノートの SDI 制御モジュールの一部として提供されています このアプリケーションノートで提供してする DRU については ザイリンクスアプリケーションノート 20 ビット幅のオーバーサンプルデータをベースとするクロックおよびデータリカバリユニット [ 参照 18] で説明しています このアプリケーションノートでは DRU の動作理論について説明していますが UHD-SDI リファレンスデザインで DRU の使用するために不可欠というわけではありません SMPTE ST 259 (SD-SDI 規格 ) では 270Mb/s 以外のビットレートも定められています DRU は 270Mb/s シリアルデータの 11 倍のオーバーサンプリングのみをサポートするために SDI 制御モジュールにインスタンシエートされていますが その他の SD-SDI ビットレートをサポートする必要があるアプリケーションでは DRU を使用してこれらのビットレートも受信できます この DRU は分数のオーバーサンプリング係数をサポートしているため 追加の RX 基準クロック周波数を使用しなくても 270Mb/s 以外の SD-SDI ビットレートを受信できます SMPTE ST 344 で指定されている 540Mb/s SD-SDI ビットレートは GTH トランシーバーでサポートされるラインレート範囲内であるため GTH RX でこれを受信する目的で DRU を使用する必要はありません ただし DRU を使用せずに 540Mb/s ビットレートを受信するには その他の SDI ビットレートで使用されているものとは異なる基準クロック周波数が必要です このため DRU を使用して 5.5 倍のオーバーサンプリングで 540Mb/s ST344 を受信した方が 標準の SDI 基準クロック周波数を使用できるので より簡単な方法といえます ザイリンクスでは その他の SD-SDI ビットレートをサポートするサンプルデザインを提供していません DRU はリカバリクロックを提供しません また GTH RX の CDR ユニットは その基準クロックにロックされているため SD-SDI モードでは rxusrclk は入力されるビットレートにロックされません DRU は 出力で 10 ビットデータワードが有効であることを示すデータストローブ信号を生成します UHD-SDI コアは このデータストローブ信号を使用してクロックイネーブルを生成します これは 27MHz レートでアサートされ GTH からの rxusrclk クロックに対して通常 5/6/5/6 のクロックサイクルリズムでアサートされます SD-SDI 動作中の v_smpte_uhdsdi_rxtx ラッパーからの rx_ce_out 信号は DRU のデータストローブ信号で生成されるため 同じリズムとなります DRU データストローブと rx_ce_sd 信号は 通常の 5/6/5/6 リズムから外れる場合があります これは 実際の SD-SDI ビットレートと GTH RX が使用する PLL へ供給されるローカル基準クロックの周波数の間に発生したずれを DRU が補正するために生じるものです 図 9 に SD-SDI 動作中の 27MHz rx_ce_out ポートを示したオシロスコープのスクリーンキャプチャを示します 画面中央の rx_ce_out の立ち上がりエッジでスコープがトリガーされています スコープは無制限に継続するモードであり 数分 XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 18

19 間分の波形を取ることができました 波形は温度で色分けし 信号の最も標準的な位置を示す赤色から 極めてまれな位置を示す青色で表示されています このスクリーンキャプチャの作成に用いられた SD-SDI 入力信号は GTH レシーバーで使用されるローカル基準クロックと非同期です 中心パルスの左側または右側のいずれかにある rx_ce_out 信号は 中心パルスから常に 5 または 6 クロックサイクルの間隔があります これは rx_ce_out 信号のリズムが 5/6/5/6 であるためです トレースの左右両端にある 2 つのパルスは 5/6/5/6 リズムによって中心パルスから名目上 11 サイクルクロック離れています この名目上の位置は 黄色と赤色のパルスでマークされています 一番右のパルスには 中心パルスの立ち上がりエッジから 11 サイクルクロックの位置を縦方向の黄色い破線カーソルで示しています 青色のパルスで両側を挟まれた黄色と赤色のパルスは ローカルの基準クロックと入力される SD-SDI 信号の周波数差を補うために DRU が rx_ce_out の周期を 10 クロックサイクルまたは 12 クロックサイクルのいずれかにする必要があることを表しています このアプリケーションノートの SD-SDI DRU は 生成済みの nidru_20_wrapper.vhd という名前のファイル内に暗号化された状態で提供されています DRU で使用される暗号化は ほとんどの合成およびシミュレーションソフトウェアと互換性があります X-Ref Target - Figure 9 SD SDI の送信 図 9:SD SDI クロックイネーブル信号のキャプチャ ( オシロスコープ画面 ) SD-SDI の受信と同様に 低速な 270Mb/s SD-SDI ビットレートの送信は GTH TX で直接サポートされていません SD-SDI 信号を送信するには GTH TX を 2.97Gb/s ラインレート用にコンフィギュレーションします UHD-SDI コアは送信される各ビットを 11 回複製するため UHD-SDI コアから出力されて GTH Wizard IP の gth_txn_out ポートへ入力されるデータには 各ビットが 11 回連続して複製されたものが含まれます 最終的に GTH TX から出力される信号は 有効な 270Mb/s SD-SDI 信号となります XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 19

20 SD SDI リカバリクロックの生成 SD-SDI モードの場合 CDR ユニットは SD-SDI ビットストリームではなく 基準クロックの周波数にロックされるため GTH RX の rxusrclk は実際はリカバリクロックではありません 入力される SD-SDI ビットストリームのデータレートを示す唯一の信号は SDI Wrapper の 27MHz rx_ce_out 出力です 一部のビデオアプリケーション 特に SDI インターフェイス上に回復されたビデオデータを再送信する必要がないビデオアプリケーションでは rx_ce_out ポートがリカバリクロックとして十分機能します 通常 この信号は GTH RX レシーバーからの rxusrclk でクロック供給されているダウンストリームモジュール用のクロックイネーブルとして使用されます UHD-SDI コア内の SDI データパスはこのように機能しています (rx_ce_out ポートをクロックイネーブルとして使用 ) GTH TX を使用し 受信したビデオデータを SD-SDI 信号として再送信する場合は 低ジッターのリカバリクロックが必要です リカバリクロックは GTH TX 向けにシリアルクロックを生成する PLL の基準クロックとして使用できるように 十分に低ジッターの必要があります さらに GTH TX トランスミッターが 11 倍のオーバーサンプリングを実行して 270Mb/s SD-SDI データを送信できるように リカバリクロックの周波数は 148.5MHz となることが求められます これには 外部に配置される低帯域幅の PLL を使用する必要があります UltraScale の MMCM ( ミックスドモードクロックマネージャー ) の帯域幅は広すぎるため SDI レシーバーから rx_ce_out ポートに現れる大量の低周波ジッターを適切に除去できません このジッター除去には Texas Instruments 社製 LMH1983 および Silicon Labs 社製 Si5328 が効果的です これらのデバイスは rx_ce_out ポートを 27MHz の基準クロックとして使用し それを最大 148.5MHz のいずれかまで逓倍できると同時にジッターも除去できます 最終的にジッターが除去されたクロックは GTH TX の基準クロックとしての使用に最適です このアプリケーションノートで提供するパススルーデモでは SD-SDI モードで このようにして Si5328 を使用して 27MHz rx_ce_out ポートから GTH TX 用の 148.5MHz 基準クロックを生成しています HD-SDI 3G-SDI 6G-SDI または 12G-SDI のいずれかを再送信する場合は 同じ Si5328 を再プログラムして GTH RX の rxusrclk 出力からジッターを排除し HD-SDI の場合はその周波数を 2 逓倍して GTH TX 用に低ジッターの 148.5MHz 基準クロックを生成します もう 1 つの方法は 外部ゲンロック PLL を使用し 回復されたビデオデータからのビデオ同期信号にロックさせる方法です ゲンロック PLL の出力が SD-SDI リカバリクロックとなります リカバリクロックは 外部のビデオ ASSP (Application-Specific Standard Product) デバイスの駆動に必要な場合があります SD-SDI モードの場合 このようなクロックには 27MHz 周波数が必要で rx_ce_out ポートに現れる信号よりも低いジッターが求められますが GTH TX の基準クロックを生成する場合と同様に極端に低いジッターは必要ありません 前述のテクニックを使用することも可能ですが 外部コンポーネントを使用せずに FPGA 全体にリカバリクロックを生成する方が理想的です rx_ce_out ポートはジッターが大きすぎるため UltraScale MMCM の基準クロック入力として直接使用できませんが 図 10 に示すように 未使用 GTH TX トランスミッターを用いて SD-SDI リカバリクロックを生成する方法があります GTH Wizard IP の未使用 GTH TX の gtwiz_userdata_tx_in ポートへ制御モジュールの recclk_txdata ポートを接続します GTH TX は SDI 入力信号を受信している GTH RX と同じ基準クロックを使用する必要があります rxusrclk は GT Wizard IP の gtrefclk0_in へ配線可能で txpllclksel_in は CPLL を使用するように設定する必要があります GTH TX は エンコードなしで 20 ビットの gtwiz_userdata_tx_in ポートを使用する 2.97Gb/s ラインレート用にコンフィギュレーションします このようにコンフィギュレーションした場合 GTH TX のシリアル出力は 入力される SD-SDI 信号へ周波数がロックされた 270MHz クロックとなります つまり これは SD-SDI の真のリカバリクロックとなります GTH TX のシリアル出力ピンは CML 出力を正しく終端して LVDS へ転換することで UltraScale FPGA のグローバルまたはリージョナルクロック LVDS 入力へ接続できます さらに FPGA 内で必要とされる場合に 270MHz クロックを使用できます たとえば このクロックを 10 で分周し 内部 / 外部ビデオデータパスを駆動する 27MHz リカバリクロックを得ることが可能です この信号は 十分ジッターが低いため MMCM の基準クロックとして使用できます DRU の recclk_txdata ポートは SDI 制御モジュールから SDI Wrapper の出力ポートへ接続されていません ただし この機能が必要な場合は この出力ポートを追加するために SDI Wrapper を変更できます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 20

21 X-Ref Target - Figure 10 図 10 : GTH TX を使用して SD SDI リカバリクロックを生成 SD-SDI リカバリクロックの生成に使用される GTH TX は SDI 用にコンフィギュレーションする必要はありませんが エンコードなしで常に 2.97Gb/s で動作することが求められます 制御モジュールの recclk_txdata ポートから GTH Wizard IP の gtwiz_userdata_tx_in ポートへ送信されたデータ信号が GTH TX シリアル出力ピンに対して 270MHz クロックを生成します 生成されたクロックのエッジは 2.97Gb/s ラインレートの ± 1 ビット時間で移動し 出力信号の周波数が入力 SD-SDI 信号のビットレートと正確に一致するように変更されます このため GTH TX で生成された 270MHz クロックのサイクル間ジッターは +/-337ps (2.97Gb/s の 1 ビット時間は 337ps) と GTH TX 出力信号に本来生じるジッターを合計した値となります これを図 11 に示します 一番上のトレースは GTH TX で生成された 270MHz クロックです 画面中央のリカバリクロックの立ち上がりエッジでスコープがトリガーされています トリガーポイントの左右いずれかのサイクルの立ち上がりエッジを観察すると これらの立ち上がりエッジはそれぞれが明確に区別された立ち上がりポイントを示しているため ±337ps のサイクル間ジッターを容易に確認できます 図 11 の下にあるトレースは もう 1 つの GTH TX で再送信されている SD-SDI です XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 21

22 X-Ref Target - Figure 11 RX SDI モードの自動検出 図 11 : GTH トランシーバーを使用して SD SDI リカバリクロックを生成 UHD-SDI コアは GTH RX で受信する SDI 信号の SDI モード (SD HD 3G 6G または 12G-SDI) を自動で判断できます 現時点で SDI 入力信号にロックされていないと判断された場合 UHD-SDI コアは GTH の rxdata 出力ポートに適切な SDI データを確実に検出するまで 5 つの異なる SDI モードで GTH RX を順に制御します これが検出されると UHD-SDI コアは rx_mode_locked_out ポートをアサートし GTH CDR が SDI 信号へロックしたことを示します sdi_mode_out ポートには RX がロックした SDI モードが示されます ここで注意すべき点は rx_mode_locked 信号は GTH RX が SDI 信号にロックしていることを UHD-SDI コアが認識しているか否かを示すにすぎません 単に UHD-SDI コアのモード検索ステートマシンが依然として正しい SDI モードを検索し続けているか否かを示すものです このため rx_mode_locked を UHD-SDI RX のロックステータスを示すインジケーターと見なすことはできません GTH RX が入力 SDI 信号にロックしておらず 正しい SDI モードを決定するために UHD-SDI コアがアクティブに GTH RX を制御している場合 rx_mode_locked 信号が一時的にアサートされる可能性があります これは 入力されるデータが有効な SAV シーケンスとしてランダムに現れる場合に生じます SAV シーケンスが検出されると UHD-SDI コアは rx_mode_locked をアサートし 検索を一時停止して適切なデータが受信されるのを待ちます しかし 特定のタイムアウト期間内に適切なデータが受信されない場合は rx_mode_locked 信号がネゲートされ SDI モード検索が再開します SDI モード検索アルゴリズムは UHD-SDI ラッパーの rx_mode_en_in ポートで有効化された SDI モードにロックしようとするだけです この 6 ビットポートには HD-SDI (bit 0) SD-SDI (bit 1) 3G-SDI (bit 2) 6G-SDI (bit 3) 12G-SDI (11.88Gb/s (bit 4) の場合 ) および 12G-SDI (11.88/1.001Gb/s (bit 5) の場合 ) を有効化するためのビットがあります GTH RX は 2 つの 12G-SDI ラインレートに異なる基準クロック周波数で設定する必要があるため モード検索アルゴリズムは 2 つの 12G-SDI ラインレートを異なる SDI モードと見なします また rx_mode_en_in ポートには個別のイネーブルビットがあるため 2 つの 12G-SDI ラインレートの一方のみをモード検索に含むように指定することできます この方法は GTH XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 22

23 RX が 2 つの 12G-SDI ラインレートをスキャンするたびに QPLL の基準クロック周波数が頻変更されることが好ましくないアプリケーションで有効です rx_mode_en_in ポートは動的に変更可能です ただし rx_mode_en_in ポートのビットを動的にクリアすることで無効化されるモードに UHD-SDI RX がすでにロックしている場合 UHD-SDI RX はそのモードへのロックが自動的に外されるわけではありません UHD-SDI RX は 入力 SDI 信号が変更または UHD-SDI RX がリセットされるまで SDI モードにロックされたままになり SDI モード検索アルゴリズムに対して rx_mode_en_in ポートの新しい設定を使用して SDI モードを特定するように強制します UHD-SDI コアの自動 SDI モード検索アルゴリズムは無効にできます このアルゴリズムは rx_mode_detect_en_in ポートが High の場合のみ有効になります このポートが Low の場合 rx_forced_mode_in ポートを使用して UHD-SDI RX に対してどの SDI モードで動作するかを指示する必要があります rx_mode_detect_en_in が Low に遷移し SDI モード検索アルゴリズムが無効の場合 SDI RX は rx_forced_mode_in ポートで指定されたモードになり rx_mode_locked 出力は常に High になります したがって rx_mode_locked はロックインジケーターまたはこのモードのデータ有効インジケーターとして使用できません モード検索アルゴリズムが無効の場合 rx_forced_mode_in を動的に変更すると SDI 制御ロジックが新しい SDI モードの必要に応じて GTH RX の設定を動的に変更します RX のビットレート検出 UHD-SDI コアは GTH RX で受信する SDI 信号の SDI モード (SD-SDI HD-SDI 3G-SDI 6G-SDI および 12G-SDI) を自動で判断できます 現時点で SDI 入力信号にロックされていないと判断された場合 UHD-SDI コアは GTH Wizard IP の gtwiz_userdata_rx_out 出力ポートに適切な SDI データを確実に検出するまで 5 つの異なる SDI モードで GTH RX を順に制御します これが検出されると UHD-SDI コアは rx_mode_locked 出力をアサートし SDI 信号へロックしたことを示します rx_mode 出力ポートには RX がロックした SDI モードが示されます HD-SDI 3G-SDI および 6G-SDI モードの場合 GTX RX は整数フレームレートまたは分数フレームレートのいずれの SDI 信号を受信しているかを示しません つまり HD-SDI モードの 1.485Gb/s と 1.485/1.001Gb/s の違いを示すことができません しかし UHD-SDI コアが HD-SDI 3G-SDI 6G-SDI および 12G-SDI モードの場合 入力 SDI 信号のビットレートが bitrate/1 または bitrate/1.001 ( 例 : 6G-SDI の場合 5.94Gb/s または 5.94/1.001Gb/s) のいずれであるかを判断する方法がありません そこで このアプリケーションノートで提供する制御モジュールには 1.485Gb/s と 1.485/1.001Gb/s 2.97Gb/s と 2.97/1.001Gb/s 5.94Gb/s と 5.94/1.001Gb/s 11.88Gb/s と 11.88/1.001Gb/s を区別できるビットレート検出器が含まれています 入力 SDI 信号のビットレートが bitrate/1 の場合 SDI Wrapper の出力ポート rx_m_out は Low になります 一方 入力 SDI 信号のビットレートが bitrate/1.001 の場合 SDI ラッパー出力ポート rx_m_out が Low になります ビットレート検出回路を駆動するには SDI Wrapper の rx_fxdclk_in 入力ポートに固定周波数クロックを供給する必要があります このクロックの周波数は 10MHz 以上にすることを推奨します 150Mhz 以上になると ビットレート検出ロジック内でタイミングを満たすことが難しくなる可能性があります SDI Wrapper には FXDCLK_FREQ というパラメーターがあり これは rx_fxdclk_in ポートへ接続されるクロック周波数の指定に使用されます FXDCLK_FREQ の値は 固定周波数クロックの周波数と同じに設定する必要があります (Hz) UltraScale に SDI インターフェイスを実装 UltraScale FPGA デザインに SDI インターフェイスを実装するには 次の手順を実行します 1. Vivado IP カタログにある UltraScale FPGAs Transceiver Wizard を使用して GTH Wizard IP を生成します 2. Vivado IP カタログから SMPTE UHD-SDI IP LogiCORE を生成します 3. kugth_uhdsdi_wrapper_support v_smpte_uhdsdi_wrapper およびこれらに関連するファイルをインスタンシエートしま す 4. SDI インターフェイスに適切なタイミング制約を適用します GTH Wizard IP の生成 UltraScale FPGAs Transceiver Wizard を使用して GTH Wizard IP を生成します ウィザードで生成された GTH Wizard IP はラッパーレベルの階層構造となり オプションで GTH TX/RX クロッキング GTH リセット およびデータ幅変更用の GTH COMMON インスタンスやヘルパーロジックが含まれます UHD-SDI アプリケーションの場合 GTH Wizard IP にはすべてのヘルパーロジックが含まれることが推奨されます GTH COMMON は SDI Wrapper Support モジュールにすでにインスタンシエートされているため GTH Wizard IP に含める必要はありません XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 23

24 GTH Wizard IP の各インスタンスは 特定の GTHE3_CHANNEL ロケーションに LOC 制約されるため デザイン内の SDI チャネル数に応じて複数の GTH Wizard IP を生成する必要があります また SDI Wrapper Support モジュールも必要に応じてインスタンシエートする必要があり SDI インターフェイスを実装しているトランシーバーを含む各 GTH クワッドに SDI Wrapper Support モジュールを 1 つインスタンシエートする必要があります CPLL のみ使用して GTH トランシーバーへクロック供給する場合は SDI Wrapper Support モジュールをインスタンシエートする必要はありません ただし CPLL へ差動基準クロックを供給するために IBUFDS_G TE3 プリミティブのインスタンスをインスタンシエートする必要があります このアプリケーションノートで提供する SDI デモアプリケーションでは SDI Wrapper モジュールに複数の GTH Wizard IP をインスタンシエートする方法の例を示します 次のセクションでは Vivado IP カタログのウィザードを使用して GTH ラッパーを生成する手順について詳しく説明します Vivado プロジェクトで IP カタログを開きます UltraScale FPGAs Transceiver Wizard は Vivado IP カタログの最上位の [FPGA Features and Design] フォルダー内の [IO Interfaces] フォルダーに含まれています IP カタログ内のウィザードをダブルクリックして Wizard を起動します ウィザードのバージョン 1.5 には 6G-SDI および 12G-SDI 用のプロトコルテンプレートは含まれていません HD-SDI と 3G-SDI のプリセットで提供されますが 3G-SDI のプリセットを基準として使用します ここでは 6G-SDI および 12G-SDI インターフェイスの実装に必要なすべての設定とポートを含む GTH ラッパーの生成方法について説明します 将来的に SDI テンプレートが GTH ラッパーに追加される予定です [Basic] タブが開くと同時にウィザードが起動します ( 図 12 参照 ) タブの上部には [Component Name] というフィールドがあります ここで入力した名前は GTH ラッパーファイル名 および GTH コンポーネント名として使用されます この例では コンポーネント名は v_smpte_uhdsdi_gtwiz_x0y16 です ( _x0y16 は GTHE3_CHANNEL の位置を示す ) X-Ref Target - Figure 12 図 12 : UltraScale FPGAs Transceiver Wizard [Basic] タブ XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 24

25 [Transceiver configuration preset] のプルダウンメニューリストから [GTH:3G-SDI] プリセットを選択します これで 3G-SDI 動作用のすべての設定が完了です このプリセットを基準として使用し 6G-SDI および 12G-SDI アプリケーション向けにウィザードの設定を変更します Transmitter および Receiver セクションの [Line rate (Gb/s)] は 6G-SDI アプリケーションには G-SDI アプリケーションには に設定できます この例では Transmitter の [PLL type] で QPLL0 をデフォルトのクロックソースとして使用し Receiver では QPLL1 を使用しています ただし SDI コントローラーモジュールが TX および RX の SDI 動作モードに応じて 2 つのクロックソースを動的に切り替えます トランシーバーが 6G-SDI までの 2 つのビットレート 12G-SDI の 1 つのビットレートのみサポートしている場合には TX または RX のいずれかのクロックソースとして CPLL を使用することも可能です TX および RX の [Reference clock (MHz)] 周波数を任意の値に設定します ( 通常 148.5MHz) [Encoding] は Raw に設定してください [User data width] および [Internal data width] ドロップダウンメニューは 使用する SDI インターリーブパターンに基づいて設定されます 通常 6G-SDI および 12G-SDI アプリケーションの場合は 40 に設定されます GTH データ幅は SDI コントローラーが TX および RX の SDI 動作モードに基づいて 20 または 40 として動的に変更します GTH が SD-SDI HD-SDI および 3G-SDI のみサポートしている場合は [User data width] および [Internal data width] も 20 に設定できます [Buffer] は必ず Enable にして [TXOUTCLK source] と [RXOUTCLK source] にはそれぞれ TXOUTCLKPMA および RXOUTCLKPMA を選択してください Receiver セクションの [Advanced] メニューでは [Programmable termination voltage (mv)] が 800 [Equalization mode] が LPM になっていることを確認してください タブを切り替える場合は [Component Name] の下部にあるタブをクリックします すべてのタブで設定を完了するまでは [OK] をクリックしないでください [OK] をクリックするとウィザードが終了します X-Ref Target - Figure 13 図 13 : UltraScale FPGAs Transceiver Wizard [Physical Resources] タブ XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 25

26 図 13 に示す [Physical Resources] タブに進みます [Free-running and DRP clock frequency (MHz)] を設定します この例では 27 に設定されています アクティブにするターゲット GTHE3_CHANNEL を選択し 各 GTH Wizard IP インスタンスに 1 つの CHANNEL のみが有効になっていることを確認してください この例では RX ユニットは MGTREFCLK を基準クロックとして使用する QPLL1 を使用しています TX ユニットは MGTREFCLK1 を基準クロックとして使用する QPLL0 を使用しています ウィザードは TX ユニットによる QPLL0 と QPLL1 間の動的な切り替えを直接的に制御しません この動的切り替えは SDI 制御モジュールで制御されます ただし TX ユニットが QPLL0 と QPLL1 間を動的に切り替えるために すべての PLL をアクティブにし 適切な接続を行った GTH ラッパーが構築されます 図 14 の [Optional Features] タブは変更する必要がありません [Reset receiver elastic buffer on rate change] および [Reset Transmitter buffer on rate change] が Enable に設定されていることだけを確認してください X-Ref Target - Figure 14 図 15 に示す [Structural Options] タブに進みます [Simplify transceiver usage by organizing resources and helper blocks] セクションで [Include transceiver COMMON] を Example Design に設定し その他のオプションを Core に設定してください [Expose additional ports by functionality, for advanced feature usage] セクションの [All Ports] を展開表示します [Inputs] の次のポートを有効にしてください drpaddr_in drpclk_in drpdi_in drpen_in drpwe_in 図 14 : UltraScale FPGAs Transceiver Wizard [Optional Features] タブ XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 26

27 gtrefclk0_in rxcdrhold_in rxdfeagcovrden_in rxdfelfovrden_in rxdfetap2ovrden_in rxdfetap3ovrden_in rxdfetap4ovrden_in rxdfetap5ovrden_in rxdfetap6ovrden_in rxdfetap7ovrden_in rxdfetap8ovrden_in rxdfetap9ovrden_in rxdfetap10ovrden_in rxdfetap11ovrden_in rxdfetap12ovrden_in rxdfetap13ovrden_in rxdfetap14ovrden_in rxdfetap15ovrden_in rxdfeutovrden_in rxlpmgcovrden_in rxlpmhfovrden_in rxlpmlfklovrden_in rxlpmosovrden_in rxosovrden_in rxpllclksel_in txpllclksel_in [Outputs] の次のポートを有効にしてください cplllock_out drpdo_out drprdy_out loopback_in, rxelecidlemode_in, txelecidlemode_in, txpostcursor_in and txprecursor_in などを含む一部のポートは デバッグ用に有効できます loopback_in ポートを使用すると GTH TX で送信されたデータを同じトランシーバー内にある GTH RX へループバックする さまざまなループバックモードを動的に選択できます ループバックモードはデバッグには有用ですが プロダクションアプリケーショでは一般に使用されません rxelecidlemode_in および txelecidlemode_in ポートを使用すると 消費電力を削減するために TX および RX を動的にアイドル状態にできます 外部の SDI ケーブルドライバーに対して TX からの信号の整合性を向上させるために xpostcursor_in および txprecursor_in ポートが必要な場合は これらのポートをオンにできます [OK] をクリックして 次に [Generate] をクリックすると GTH ラッパーが生成されます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 27

28 X-Ref Target - Figure 15 SMPTE UHD SDI IP コアの生成 図 15 : UltraScale FPGAs Transceiver Wizard [Structural Options] タブ Vivado IP カタログを使用して SMPTE UHD-SDI コアを生成します SMPTE UHD-SDI コアは IP カタログの [Video & Image Processing] フォルダーにあります UHD-SDI コアは ソースコードで提供され あらかじめコンパイルされたコアではありません UHD-SDI コアが生成されると UHD-SDI コアのソースコードファイル (Verilog) を含むフォルダーが作成されます UHD-SDI コアの生成時に利用できるオプションは RX 部分に EDH ( エラー検出と処理 ) プロセッサ およびコアがサポートする最大ラインレートを含めるか否かです [Maximum Line Rate] の選択は IP で有効化される最大 SDI データストリーム (DS) に影響します [3G-SDI] を選択すると 4 つの DS が [6G-SDI] および [12G-SDI 8DS] を選択すると 8 つの DS が有効になり [12G-SDI 16DS] を選択すると 16 の DS が有効になります XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 28

29 X-Ref Target - Figure 16 UHD SDI ラッパーのインスタンシエート このアプリケーションノートには SDI Wrapper Support (kugth_uhdsdi_wrapper_support.v) および SDI Wrapper (kugth_uhdsdi_wrapper.v) という 2 つの主要 UHD-SDI ラッパーがあります ( 図 5 参照 ) QPLL0 および QPLL1 のいずれかまたは両方を使用する場合 SDI Wrapper Support が必要で 各クワッドに 1 つインスタンシエートします SDI Wrapper Support と SDI Wrapper は ユーザーデザインでインスタンシエートし 相互接続する必要があります ここで提供する SDI ラッパーを使用せずに SDI インターフェイスを実装することも可能ですが このラッパーは SMPTE UHD-SDI コア 制御モジュール および GT Wizard IP の 1 つのチャネルを相互接続するため これを使用した方が簡単になります ラッパーを使用しない場合は ユーザーがこれらの接続をすべて行わなければなりません 別の選択肢として kugth_uhdsdi_<line rate>_norxedh_wrapper.v という SDI ラッパーファイルがあります これは RX EDH プロセッサを含まない UHD-SDI コアを生成する場合に使用する必要があります リファレンスデザインには 24 個のラッパーファイルがあり それらのインスタンシエーションや使用法は UHD-SDI コアのコンフィギュレーションに完全に依存します リファレンスデザインでは 太字表記したものを使用しています SDI 4-Channel Wrapper ( 各クワッド ) kugth_uhdsdi_12g_16s_4ch_wrapper.v kugth_uhdsdi_12g_16s_norxedh_4ch_wrapper.v kugth_uhdsdi_12g_8s_4ch_wrapper.v 図 16 : SMPTE UHD SDI IP XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 29

30 kugth_uhdsdi_12g_8s_norxedh_4ch_wrapper.v kugth_uhdsdi_6g_4ch_wrapper.v kugth_uhdsdi_6g_norxedh_4ch_wrapper.v kugth_uhdsdi_3g_4ch_wrapper.v kugth_uhdsdi_3g_norxedh_4ch_wrapper.v SDI Wrapper Support kugth_uhdsdi_12g_16s_wrapper_support.v kugth_uhdsdi_12g_16s_norxedh_wrapper_support.v kugth_uhdsdi_12g_8s_wrapper_support.v kugth_uhdsdi_12g_8s_norxedh_wrapper_support.v kugth_uhdsdi_6g_wrapper_support.v kugth_uhdsdi_6g_norxedh_wrapper_support.v kugth_uhdsdi_3g_wrapper_support.v kugth_uhdsdi_3g_norxedh_wrapper_support.v SDI Wrapper ugth_uhdsdi_12g_16s_wrapper.v kugth_uhdsdi_12g_16s_norxedh_wrapper.v kugth_uhdsdi_12g_8s_wrapper.v kugth_uhdsdi_12g_8s_norxedh_wrapper.v kugth_uhdsdi_6g_wrapper.v kugth_uhdsdi_6g_norxedh_wrapper.v kugth_uhdsdi_3g_wrapper.v kugth_uhdsdi_3g_norxedh_wrapper.v UHD-SDI コアのほかに SDI Wrapper は次のファイルをインスタンシエートします kugth_uhdsdi_control.v kugth_uhdsdi_drp_control.v kugth_uhdsdi_drp_control_fsm.v kugth_uhdsdi_rx_control.v kugth_uhdsdi_tx_control.v sync_block.v uhdsdi_rate_detect.v bs_flex_v_1.vhd nidru_20_v_6.vhd nidru_20_wrapper.vhd 重要 : 1. SDI Wrapper には SMPTE UHD-SDI コアのインスタンスが 1 つ含まれます 生成時に UHD-SDI コアに与えられた名前が SDI Wrapper にコアがインスタンシエートされている場所で使用されるように SDI Wrapper を変更する必要があります これは SMPTE UHD-SDI コアを生成する際にコンポーネント名 v_smpte_uhdsdi_rxtx を使用することで解決できます 2. SDI チャネルが複数あるデザインの場合 SDI Wrapper には GTH Wizard IP の複数のインスタンスが含まれる可能性があります SDI Wrapper の XY_SITE ジェネリックを使用することで 特定の GTH Wizard IP がターゲットとなり Verilog の Generate 文で使用されます 各チャネルインスタンスを処理するには SDI Wrapper を変更する必要があります XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 30

31 表 2 に SDI Wrapper のすべてのポートについて説明しています このポートリストは UHD-SDI コアのポートリストと類似していますが いくつか相違点があります また GTH と SDI Wrapper の接続方法の例についても このアプリケーションノートで提供する SDI アプリケーション例を参照してください 一部の信号は ビデオサンプル周期の数サイクル間アサートされるものとして説明されています ビデオサンプル周期は SDI モードに応じて適切なクロック (txusrclk または rxusrclk) の異なるサイクル数が適用されます HD-SDI および 3G-SDI level A モードの場合 サンプル周期は 1 クロックサイクル続きます SD-SDI モードの場合 サンプル周期は 5 または 6 クロックサイクル続き クロックイネーブル (tx_sd_ce_in または rx_ce_out) がアサートされるクロックの立ち上がりエッジで開始および終了します 3G-SDI level B モードの場合 rx_ce_out ポートのアサートで制御され サンプル周期は 2 クロックサイクル間となります このリストにあるほとんどの RX および TX ポートは SDI Wrapper にインスタンシエートされた UHD-SDI コアの同じ名前に _in または _out が追加されたポートへ直接接続されます ビデオ信号およびビデオタイミング信号のタイミング図は SMPTE SD/HD/3G-SDI 製品ガイド (PG205) [ 参照 17] を参照してください 表 2:SDI Wrapper のポートリスト ポート名 I/O 幅説明 受信ポート rx_fxdclk_in 入力 1 SDI RX ビットレート検出機能用の固定周波数クロックです rx_rst_in 入力 1 同期リセット入力です このリセットは gth_drpclk_in ポートに同期します rx_usrclk_out 出力 1 GTH rxusrclk クロック出力です UHD-SDI コアの rx_clk ポートへ送信される信号でもあります rx_mode_detect_rst_in 入力 1 SDI モード検索機能のみリセットする同期リセットです rx_usrclk_out の立ち上がりエッジで rx_mode_detect_rst_in が High に遷移すると SDI モード検出機能のみリセットされます rx_mode_en_in 入力 6 このポートには 5 つの SDI モードの受信をそれぞれ有効にするためのビットがあります ビット 0 は HD-SDI モードを有効にする ビット 1 は SD-SDI モードを有効にする ビット 2 は 3G-SDI モードを有効にする ビット 3 は 6G-SDI モードを有効にする ビット 4 は 12G-SDI 11.88Gb/s モードを有効にする ビット 5 は 12G-SDI 11.88/1.001Gb/s モードを有効にする ビットが High に遷移すると 対応する SDI モードが有効になります ビットが Low のとき レシーバーはそのモードの入力 SDI 信号を検出しません これらのビットで未使用 SDI モードを無効にすることで モード変更時にレシーバーが入力信号へロックするまでの時間を短縮できます rx_mode_detect_en_in 入力 1 High の場合 SDI モード検出機能を有効にします 有効の場合 SDI モード検出機能は入力される SDI データストリームを検索してこれにロックするようレシーバーを制御します 無効の場合 ユーザーアプリケーションは rx_forced_mode_in ポートを使用する際の SDI モードを SDI レシーバーへ伝える必要があります XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 31

32 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 rx_forced_mode_in 入力 3 rx_mode_detect_en_in 入力が Low の場合は 自動の SDI モード検出 機能が無効になり レシーバーは rx_forced_mode_in ポートの値で指 定された SDI モードで動作するようになります 000 = HD 001 = SD 010 = 3G 100 = 6G 101 = 12G 11.88Gb/s 110 = 12G 11.88/1.001Gb/s rx_mode_out 出力 3 レシーバーの現在の SDI モードを示します 000 = HD 001 = SD 010 = 3G 100 = 6G 101 = 12G 11.88Gb/s 110 = 12G 11.88/1.001Gb/s レシーバーがロックされていない場合 正しい SDI モードを検索し このポートの値が変更されます その際 x_mode_locked 出力は Low になります レシーバーが正しい SDI モードを検出すると rx_mode_locked 出力が High になります rx_mode_hd_out 出力 1 HD-SDI モードで RX がロックされると High になります rx_mode_sd_out 出力 1 SD-SDI モードで RX がロックされると High になります rx_mode_3g_out 出力 1 3G-SDI モードで RX がロックされると High になります rx_mode_6g_out 出力 1 6G-SDI モードで RX がロックされると High になります rx_mode_12g_out 出力 1 12G-SDI モード ( いずれかのビットレート ) で RX がロックされると High になります rx_mode_locked_out 出力 1 Low の場合 レシーバーは入力データストリームと一致する SDI モードを積極的に検索します この間 rx_mode_out ポートの値は頻繁に変化します レシーバーが正しい SDI モードにロックすると このポートの出力が High になります SDI モード検出機能が無効 (rx_mode_detect_en_in = Low) の場合は常に High になります この場合 SDI レシーバーが入力される SDI 信号にロックしているか否かを判断する信頼性の高いインジケーターとしては使用できません XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 32

33 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 rx_bit_rate_out 出力 1 v_smpte_uhdsdi_rate_detect.v モジュールのビットレート出力です このポートに出力される信号は UHD-SDI コアの rx_bit_rate ポート へ送信されます HD-SDI モードの場合 rx_m_out = 0 : ビットレート = 1.485Gb/s rx_m_out = 1 : ビットレート = 1.485/1.001Gb/s 3G-SDI モードの場合 : rx_m_out = 0 : ビットレート = 2.97Gb/s rx_m_out = 1 : ビットレート = 2.97/1.001Gb/s 6G-SDI モードの場合 : rx_m_out = 0 : ビットレート = 5.94Gb/s rx_m_out = 1 : ビットレート = 5.94/1.001Gb/s 12G-SDI モードの場合 : rx_m_out = 0 : ビットレート = 11.88Gb/s rx_m_out = 1 : ビットレート = 11.88/1.001Gb/s rx_t_locked_out 出力 1 レシーバーの伝送検出機能が SDI 信号の伝送フォーマットを識別 すると High になります rx_t_family_out 出力 4 SDI インターフェイスで転送として使用されているビデオ信号のファミリを示す出力です この出力は rx_t_locked が High の場合のみ有効です このポートは 転送されている画像のビデオフォーマットを必ずしも認識するとは限らず 転送の特性のみを認識します このポートのエンコードについては 表 3 を参照してください rx_t_rate_out 出力 4 転送のフレームレートを示す出力です これは 実際の画像のフレームレートと同じになるとは限りません この出力は rx_t_locked が High の場合のみ有効です このポートのエンコードについては 表 4 を参照してください rx_t_scan_out 出力 1 転送がインターレース (Low) またはプログレッシブ (High) のいずれかを示す出力です これは 実際の画像のスキャンモードと同じになるとは限りません この出力は rx_t_locked が High の場合のみ有効です rx_level_b_3g_out 出力 1 3G-SDI モードでは 入力信号が level B の場合は High にアサートさ れ level A の場合は Low にアサートされます rx_mode_3g が High の場合のみ有効です XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 33

34 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 rx_ce_out 出力 NUM_RX_CE RX クロックイネーブル出力であり このクロックイネーブルの幅は NUM_RX_CE で決定されます これらのクロックイネーブルは すべての SDI モードで有効です SD モードの場合 CE は標準的な 5/6/5/6 のリズムでアサートされます HD および 3GA モードの場合 CE は常に High になります 3GB モードの場合 CE には 50% のデューティサイクルがあります 6G モードの場合のデューティサイクルは その信号にインターリーブするデータストリーム数によって 100% または 50% となります 12G モードの場合のデューティサイクルは その信号にインターリーブするデータストリーム数によって 50% または 25% となります このポートは 従来コアの rx_ce_sd および rx_dout_rdy_3g に取って代わるもので これらの機能を兼ね備えて すべての SDI モードに対応します rx_active_streams_out 出力 3 現在受信しているビデオフォーマットに対してアクティブなデータストリーム数を示します received. アクティブデータストリームの数は 2^active_streams です 000 : 1 アクティブストリーム 001 : 2 アクティブストリーム 010 : 4 アクティブストリーム 011 : 8 アクティブストリーム 100 : 16 アクティブストリーム rx_line_0_out 出力 11 データストリーム 1 からキャプチャしたライン数が出力されます SD-SDI モードでは無効です rx_line_1_out 出力 11 データストリーム 3 からキャプチャしたライン数が出力されます 4 個以上のデータストリームがアクティブの場合のみ有効です rx_line_2_out 出力 11 データストリーム 5 からキャプチャしたライン数が出力されます 8 個以上のデータストリームがアクティブの場合のみ有効です rx_line_3_out 出力 11 データストリーム 7 からキャプチャしたライン数が出力されます 8 個以上のデータストリームがアクティブの場合のみ有効です rx_line_4_out 出力 11 データストリーム 9 からキャプチャしたライン数が出力されます 16 個のデータストリームがアクティブの場合のみ有効です rx_line_5_out 出力 11 データストリーム 11 からキャプチャしたライン数が出力されま す 16 個のデータストリームがアクティブの場合のみ有効です rx_line_6_out 出力 11 データストリーム 13 からキャプチャしたライン数が出力されま す 16 個のデータストリームがアクティブの場合のみ有効です rx_line_7_out 出力 11 データストリーム 15 からキャプチャしたライン数が出力されま す 16 個のデータストリームがアクティブの場合のみ有効です rx_st352_0_out 出力 32 データストリーム 1 からキャプチャされた ST 352 ペイロード ID の パケットデータバイトが出力されます rx_st352_0_valid_out 出力 1 rx_st352_0 が有効の場合に High になります rx_st352_1_out 出力 32 データストリーム 3 からキャプチャされた ST 352 ペイロード ID のパケットデータバイトが出力されます 3G-SDI level A モードの場合は データストリーム 2 からキャプチャされた ST 352 ペイロード ID のパケットデータバイトが出力されます rx_st352_1_valid_out 出力 1 rx_st352_1 が有効の場合に High になります rx_st352_2_out 出力 32 データストリーム 5 からキャプチャされた ST 352 ペイロード ID の パケットデータバイトが出力されます rx_st352_2_valid_out 出力 1 rx_st352_2 が有効の場合に High になります rx_st352_3_out 出力 32 データストリーム 7 からキャプチャされた ST 352 ペイロード ID の パケットデータバイトが出力されます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 34

35 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 rx_st352_3_valid_out 出力 1 rx_st352_3 が有効の場合に High になります rx_st352_4_out 出力 32 データストリーム 9 からキャプチャされた ST 352 ペイロード ID の パケットデータバイトが出力されます rx_st352_4_valid_out 出力 1 rx_st352_4 が有効の場合に High になります rx_st352_5_out 出力 32 データストリーム 11 からキャプチャされた ST 352 ペイロード ID のパケットデータバイトが出力されます rx_st352_5_valid_out 出力 1 rx_st352_5 が有効の場合に High になります rx_st352_6_out 出力 32 データストリーム 13 からキャプチャされた ST 352 ペイロード ID のパケットデータバイトが出力されます rx_st352_6_valid_out 出力 1 rx_st352_6 が有効の場合に High になります rx_st352_7_out 出力 32 データストリーム 15 からキャプチャされた ST 352 ペイロード ID のパケットデータバイトが出力されます rx_st352_7_valid_out 出力 1 rx_st352_7 が有効の場合に High になります rx_crc_err_out 出力 16 これらの 16 ビットは 各データストリーム出力の CRC エラーを示します ビット 0 がデータストリーム 1 の CRC エラーを示し ビット 1 がデータストリーム 2 の CRC エラーを示します ( その他も同様の規則 ) 特定ラインで CRC エラーが検出されると エラーを含むラインを終了する EAV の後に最後の CRC ワードがそのデータストリームポートに出力されてから数クロックサイクル後にそのデータストリームに対応する CRC エラービットがアサートされます CRC エラービットは 1 ラインタイム間アサートされた状態となります これらのビットは SD-SDI モードでは無効です rx_ds1_out 出力 10 データストリーム 1 の出力です SD モードの場合 インターリーブされた Y/C データストリームとなります HD および 3GA モードの場合は Y チャネルとなります 3GB モードの場合は リンク A の Y チャネルとなります 6G および 12G モードの場合は データストリーム 1 となります 従来コアの rx_ds1a 出力ポートと同じです rx_ds2_out 出力 10 データストリーム 2 の出力です SD モードでは使用されません HD および 3GA モードの場合は C ャネルとなります 3GB モードの場合は リンク A の C チャネルとなります 6G および 12G モードの場合は データストリーム 2 となります 従来コアの rx_ds2a 出力ポートと同じです rx_ds3_out 出力 10 データストリーム 3 の出力です SD HD および 3GA モードでは使用されません 3GB モードの場合は リンク B の Y チャネルとなります 6G および 12G モードの場合は データストリーム 3 となります 従来コアの rx_ds1b 出力ポートと同じです rx_ds4_out 出力 10 データストリーム 4 の出力です SD HD および 3G level A モードでは使用されません 3G level B モードの場合は リンク B の C チャネルとなります 6G および 12G モードの場合は データストリーム 4 となります rx_ds5_out 出力 10 データストリーム 5 の出力です 6G および 12G モードでのみ使用 されます rx_ds6_out 出力 10 データストリーム 6 の出力です 6G および 12G モードでのみ使用 されます rx_ds7_out 出力 10 データストリーム 7 の出力です 6G および 12G モードでのみ使用 されます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 35

36 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 rx_ds8_out 出力 10 データストリーム 8 の出力です 6G および 12G モードでのみ使用 されます rx_ds9_out 出力 10 データストリーム 9 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_ds10_out 出力 10 データストリーム 10 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_ds11_out 出力 10 データストリーム 11 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_ds12_out 出力 10 データストリーム 12 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_ds13_out 出力 10 データストリーム 13 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_ds14_out 出力 10 データストリーム 14 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_ds15_out 出力 10 データストリーム 15 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_ds16_out 出力 10 データストリーム 16 の出力です 16 個のデータストリームがアク ティブな場合に 12G モードでのみ使用されます rx_eav_out 出力 1 データストリーム出力ポートに EAV の XYZ ワードが現れると High にアサートされます rx_sav_out 出力 1 データストリーム出力ポートに SAV の XYZ ワードが現れると High にアサートされます rx_trs_out 出力 1 データストリーム出力ポートに EAV または SAV の 4 つの連続する ワードが現れると High にアサートされます rx_edh_errcnt_en_in 入力 16 rx_edh_errcnt カウンターをインクリメントする EDH エラー条件を 制御します 詳細は 表 5 を参照してください (1) rx_edh_clr_errcnt_in 入力 1 High の場合 rx_edh_errcnt カウンターをクリアします エラーカウ ンターをクリアするために rx_ce_sd も High のときのクロックサ イクルでこの入力ポートを High にする必要があります (1) rx_edh_ap_out 出力 1 前のフィールド用に計算されたアクティブ画像 CRC が EDH パケット の AP CRC 値と一致していない場合に High にアサートされます (1) rx_edh_ff_out 出力 1 前のフィールド用に計算されたフルフィールド CRC が EDH パケッ トの FF CRC 値と一致していない場合に High にアサートされます (1) rx_edh_anc_out 出力 1 補助データパケットチェックサムエラーが検出されると High に アサートされます (1) rx_edh_ap_flags_out 出力 5 このポートには 最も間近に受信した EDH パケットからのアクティブ画像エラーフラグビットが出力されます このポートのエンコードについては 表 4 を参照してください 詳細は 表 6 を参照してください (1) rx_edh_ff_flags_out 出力 5 このポートには 最も間近に受信した EDH パケットからのフルフレームエラーフラグビットが出力されます このポートのエンコードについては 表 4 を参照してください 詳細は 表 6 を参照してください (1) rx_edh_anc_flags_out 出力 5 このポートには 最も間近に受信した EDH パケットからの補助エ ラーフラグビットが出力されます このポートのエンコードについ ては 表 4 を参照してください 詳細は 表 6 を参照してください (1) XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 36

37 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 rx_edh_packet_flags_out 出力 4 このポートには 最も間近に受信した EDH パケットに関連する 4 つ のエラーフラグが出力されます このポートのエンコードについて は 表 5 を参照してください 詳細は 表 7 を参照してください (1) rx_edh_errcnt_out 出力 16 SD-SDI EDH エラーカウンターです あるフィールドの間 rx_edh_err_en ポートによって有効になったエラー条件が生じると そのフィールドを 1 回インクリメントします (1) rx_change_done_out 出力 1 GTH RX が初期化されている間 リセットされている間 または SDI モードが動的に変更されている間 この出力は Low になります 初期化 リセット 動的変更シーケンスが問題なく完了すると High にアサートされます この出力は gth_drpclk_in に同期します rx_change_fail_out 出力 1 通常モードでは この出力は常に Low です 制御モジュールが GTH RX の初期化シーケンス リセットシーケンス または SDI モードの動的変更シーケンスを正常に終了できなかった場合にのみ High になります このようなエラーが発生すると rx_change_fail_out port ポートが High にアサートされ rx_change_fail_code_out ポートによってこのエラーの種類が示されます エラーが生じた場合には rx_rst_in および gth_wiz_reset_rx_pll_and_datapath_in を使用して GTH RX をリセットする必要があります この出力は gth_drpclk に同期します rx_change_fail_code_out 出力 3 rx_change_fail ポートが High になると rx_change_fail_code ポートはシーケンスエラーの種類を示します このポートのエンコードについては 表 8 を参照してください この出力は gth_drpclk_in に同期します 送信ポート tx_rst_in 入力 1 非同期のリセット入力です High に遷移すると トランスミッターがリセットされます トランスミッター全体をリセットするには tx_rst_in がアサートされているときに tx_ce_in, tx_sd_ce_in, and tx_edh_ce_i 入力が High の必要があります tx_usrclk_out 出力 1 GTH txusrclk クロック出力です UHD-SDI コアの tx_clk ポートへ送信される信号でもあります tx_ce_in 入力 1 トランスミッターデータパスの主な部分のクロックイネーブル入力です 従来コアの tx_din_rdy ポートとほぼ類似しています SD HD および 3GA モードでは High の必要があります 3GB モードの場合 50% のデューティサイクルがあります 6G および 12G モードでは 4 つのストリームがインターリーブされている場合には 100% のデューティサイクルがあり 8 つのストリームがインターリーブされている場合は 50% のデューティサイクル 16 すべてのデータストリームがインターリーブされている場合は 25% のデューティサイクルがあります tx_sd_ce_in 入力 1 SD-SDI モード用のクロックイネーブル信号です SD-SDI モードの場合は 5/6/5/6 のリズムでアサートされ その他すべてのモードでは High の必要があります tx_edh_ce_in 入力 1 TX EDH プロセッサ用のクロックイネーブル信号です SD-SDI モードの場合 5/6/5/6 のリズムで tx_sd_ce と同じになる必要があります tx_sd_ce_in と位相が揃う必要があります その他のモードの場合 この CE 信号を Low 駆動して EDH プロセッサで消費される電力を抑えることができます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 37

38 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 tx_mode_in 入力 3 トランスミッターの SDI モードを選択します 000 = HD 001 = SD 010 = 3G 100 = 6G 101 = 12G その他の値は予約されています tx_m_in 入力 1 使用する基準クロックを選択します 通常 0 = MHz refclk 1 = 148.5MHz refclk です ただし この区別は PLL の周波数や 表 2 の TXPLLCLKSEL_TX_M_0 および TXPLLCLKSEL_TX_M_1 パラメーターの値によって制御されます tx_insert_crc_in 入力 1 この入力が High の場合 SD-SDI 以外のすべてのモードでトランスミッターが各ビデオラインに CRC 値を生成して挿入します Low の場合は CRC 値の挿入は行われません SD-SDI モードの場合 この入力は無視されます tx_insert_ln_in 入力 1 この入力が High の場合 トランスミッターが各ビデオラインの EAV の後にライン番号を挿入します すべてのアクティブデータストリームペアの tx_line_chx_in 入力ポートにライン番号を供給する必要があります Low の場合は ライン番号の挿入は行われません SD-SDI モードの場合 この入力は無視されます tx_insert_st352_in 入力 1 この入力が High の場合 ST 352 パケットがデータストリーム内に挿入されます Low の場合は パケットは挿入されません 3G 6G および 12G モードでは ST 352 パケットが必ず必要ですが HD および SD モードではオプションです tx_overwrite_st352_in 入力 1 この入力が High の場合 データストリーム内にすでに存在する ST 352 パケットが上書きされます Low の場合は 既存の ST 352 パ ケットは上書きされません tx_insert_edh_in 入力 1 この入力が High の場合 SD-SDI モードでトランスミッターがすべてのフィールドに EDH パケットを挿入します Low の場合は EDH パケットは挿入されません SD-SDI モード以外のすべてのモードの場合 この入力は無視されます tx_mux_pattern_in 入力 3 使用するデータストリームインターリーブパターンを指定します 000 = SD HD および 3G level A 001 = 3G level B 010 = 8 ストリームインターリーブ (6G および 12G モードの場合 ) 011 = 4 ストリームインターリーブ (6G モードの場合 ) 100 = 16 ストリームインターリーブ (12G モードの場合 ) tx_insert_sync_bit_in 入力 1 6G および 12G モードの場合 このポートが High に遷移すると ランレングス短縮のために同期ビット挿入機能が有効になります ST 2081 および ST 2082 規格へ準拠するには 同期ビットの挿入を有効にする必要があります ただし 早期に実装した 6G-SDI および 12G-SDI レシーバーは同期ビット挿入機能をサポートしていないことがあるため このようなデバイスへ信号を送信する際はこのポートを Low に設定して同期ビットの挿入を無効にできます tx_line_0_in 入力 11 データストリーム 1 および 2 用の現在のライン番号です tx_line_1_in 入力 11 データストリーム 3 および 4 用の現在のライン番号です tx_line_2_in 入力 11 データストリーム 5 および 6 用の現在のライン番号です tx_line_3_in 入力 11 データストリーム 7 および 8 用の現在のライン番号です tx_line_4_in 入力 11 データストリーム 9 および 10 用の現在のライン番号です XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 38

39 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 tx_line_5_in 入力 11 データストリーム 11 および 12 用の現在のライン番号です tx_line_6_in 入力 11 データストリーム 13 および 14 用の現在のライン番号です tx_line_7_in 入力 11 データストリーム 15 および 16 用の現在のライン番号です tx_st352_line_f1_in 入力 11 ST 352 パケットは この入力ポートで指定したライン番号の HANC スペースに挿入されます インターレースされたビデオの場合 この入力ポートはフィールド 1 のライン番号を指定します プログレッシブビデオの場合 この入力はパケットが挿入されるフレーム内のラインのみ指定します HANC インターバル中 この入力値は常に有効の必要があります tx_insert_st352 が Low の場合 この入力は無視されます tx_st352_line_f2_in 入力 11 インターレースされたビデオの場合 この値が示すフィールド 2 のライン番号に ST 352 パケットが挿入されます プログレッシブビデオの場合 tx_st352_f2_en ポートを Low に駆動してこの入力ポートを無効にする必要があります HANC インターバル中 この入力 値は常に有効の必要があります tx_insert_st352 または tx_st352_f2_en のいずれかが Low の場合 この入力は無視されます tx_st352_f2_en_in 入力 1 この入力は tx_vpid_line_f2 で指定したラインへの ST 352 パケットの挿入を制御します インターレースされたビデオの場合で ST 352 パケットの挿入が有効の場合には この入力が High に遷移する必要があります プログレッシブビデオの場合で ST 352 パケットの挿入が有効の場合には この入力が Low に遷移する必要があります ST 352 パケットの挿入が無効 (tx_insert_st352 = Low) の場合 このポートは無視されます tx_st352_data_0_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 1 に挿入されます データバ イトの順序は {byte4 byte3 byte2 byte1} となります tx_st352_data_1_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 3 に挿入されます 3GA モードの場合 このポートはデータストリーム 2 の ST352 パケットへ挿入されるデータバイトを指定します tx_st352_data_2_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 5 に挿入されます tx_st352_data_3_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 7 に挿入されます tx_st352_data_4_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 9 に挿入されます tx_st352_data_5_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 11 に挿入されます tx_st352_data_6_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 13 に挿入されます tx_st352_data_7_in 入力 32 tx_insert_st352 が High にアサートされると 4 つのデータバイトの ST352 パケットがデータストリーム 15 に挿入されます tx_ds1_in 入力 10 データストリーム 1 の入力 : SD=Y/C HD=Y 3GA=DS1(Y) 3GB=AY 6G/12G=DS1 tx_ds2_in 入力 10 データストリーム 2 の入力 : HD=C 3GA=DS2(C) 3GB=AC 6G/12G=DS2 tx_ds3_in 入力 10 データストリーム 3 の入力 : 3GB=BY 6G/12G=DS3 tx_ds4_in 入力 10 データストリーム 4 の入力 : 3GB=BC 6G/12G=DS4 tx_ds5_in 入力 10 データストリーム 5 の入力 : 6G/12G=DS5 XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 39

40 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 tx_ds6_in 入力 10 データストリーム 6 の入力 : 6G/12G=DS6 tx_ds7_in 入力 10 データストリーム 7 の入力 : 6G/12G=DS7 tx_ds8_in 入力 10 データストリーム 8 の入力 : 6G/12G=DS8 tx_ds9_in 入力 10 データストリーム 9 の入力 : 12G=DS9 tx_ds10_in 入力 10 データストリーム 10 の入力 : 12G=DS10 tx_ds11_in 入力 10 データストリーム 11 の入力 : 12G=DS11 tx_ds12_in 入力 10 データストリーム 12 の入力 : 12G=DS12 tx_ds13_in 入力 10 データストリーム 13 の入力 : 12G=DS13 tx_ds14_in 入力 10 データストリーム 14 の入力 : 12G=DS14 tx_ds15_in 入力 10 データストリーム 15 の入力 : 12G=DS15 tx_ds16_in 入力 10 データストリーム 16 の入力 : 12G=DS16 tx_ds1_st352_out 出力 10 ST 352 パケット挿入モジュールから取得するデータストリーム 1 (DS1) 出力のデータストリームです このデータストリームが出力されると この時点でアプリケーションがほかの ANC データを挿入できます tx_ds2_st352_out 出力 10 ANC 挿入用の DS2 出力のデータストリームです tx_ds3_st352_out 出力 10 ANC 挿入用の DS3 出力のデータストリームです tx_ds4_st352_out 出力 10 ANC 挿入用の DS4 出力のデータストリームです tx_ds5_st352_out 出力 10 ANC 挿入用の DS5 出力のデータストリームです tx_ds6_st352_out 出力 10 ANC 挿入用の DS6 出力のデータストリームです tx_ds7_st352_out 出力 10 ANC 挿入用の DS7 出力のデータストリームです tx_ds8_st352_out 出力 10 ANC 挿入用の DS8 出力のデータストリームです tx_ds9_st352_out 出力 10 ANC 挿入用の DS9 出力のデータストリームです tx_ds10_st352_out 出力 10 ANC 挿入用の DS10 出力のデータストリームです tx_ds11_st352_out 出力 10 ANC 挿入用の DS11 出力のデータストリームです tx_ds12_st352_out 出力 10 ANC 挿入用の DS12 出力のデータストリームです tx_ds13_st352_out 出力 10 ANC 挿入用の DS13 出力のデータストリームです tx_ds14_st352_out 出力 10 ANC 挿入用の DS14 出力のデータストリームです tx_ds15_st352_out 出力 10 ANC 挿入用の DS15 出力のデータストリームです tx_ds16_st352_out 出力 10 ANC 挿入用の DS16 出力のデータストリームです tx_ds1_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 1 (DS1) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds2_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 2 (DS2) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds3_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 3 (DS3) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds4_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 4 (DS4) の入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 40

41 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 tx_ds5_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 5 (DS5) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds6_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 6 (DS6) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds7_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 7 (DS7) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds8_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 8 (DS8) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds9_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 9 (DS9) 入力です このポートは tx_use_anc_in ポートが High の場合 のみ使用されます tx_ds10_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 10 (DS10) 入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます tx_ds11_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 11 (DS11) 入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます tx_ds12_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 12 (DS12) 入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます tx_ds13_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 13 (DS13) 入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます tx_ds14_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 14 (DS14) 入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます tx_ds15_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 15 (DS15) 入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます tx_ds16_anc_in 入力 10 アプリケーションの ANC インサーターからのデータストリーム 16 (DS16) 入力です このポートは tx_use_anc_in ポートが High の場 合のみ使用されます tx_use_anc_in 入力 1 Low の場合 ST352 パケット挿入機能から送信されるデータストリームが TX 出力チャネルへ内部送信されます High の場合 TX 出力チャネルが tx_ds[16:1]_anc_in ポートからデータストリームを受信します tx_ce_align_err_out 出力 1 この出力は SD-SDI モードの場合に tx_sd_ce 入力の 5/6/5/6 クロックサイクルリズムに問題があることを示します SD-SDI モードでは tx_sd_ce 信号は一定の 5/6/5/6 クロックサイクルリズムに従う必要があります このリズムから外れると SD-SDI シリアルストリームが正しく生成されない可能性があります このリズムが正しくない場合は tx_ce_align_err 出力が High に遷移します このポートは SD-SDI モード かつ tx_sd_bitrep_bypass が Low になっている場合にのみ有効です tx_slew_out 出力 1 外部 SDI ケーブルイコライザーのスルーレート信号を制御するた めの信号です TX のモードが SD-SDI の場合に High となります その他のモードでは Low になります XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 41

42 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 tx_change_done_out 出力 1 GTH TX が初期化されている間またはリセットされている間 あるいは GTH DRP レジスタや txsysclksel ポートが動的に変更されている間 この出力は Low になります シーケンスが問題なく完了すると tx_change_done_out 出力が High にアサートされます この出力は gth_drpclk_in に同期します tx_change_fail_out 出力 1 通常モードでは この出力は常に Low です 制御モジュールが GTH TX の初期化シーケンス リセットシーケンス または GTH DRP や txsysclksel ポートの動的な変更シーケンスを正常に終了できなかった場合にのみ High になります このようなエラーが発生する と tx_change_fail_out ポートが High にアサートされ tx_change_fail_code ポートによってこのエラーの種類が示されま す tx_change_fail_out が High に遷移して エラーが生じた場合には tx_rst_in および gth_wiz_reset_tx_pll_and_datapath_in を使用してトラ ンスミッター全体をリセットする必要があります この出力は gth_drpclk_in に同期します tx_change_fail_code_out 出力 3 tx_change_fail ポートが High になると tx_change_fail_code ポートはエラーの種類を示します このポートのエンコードについては 表 9 を参照してください この出力は gth_drpclk_in に同期します DRP コントローラーのポート drp_fail_out 出力 1 通常モードでは この出力は常に Low です 制御モジュールが GTH DRP トランザクションを正常に終了できなかった場合にのみ High になります このようなエラーが発生すると drp_fail_out ポートが High にアサートされて thedrp_fail_cnt_out ポートがインクリメントします エラーが生じて drp_fail_out が High に遷移した場合は gth_wiz_reset_all_in を使用して GTH 全体をリセットする必要があ ります この出力は gth_drpclk_in に同期します drp_fail_cnt_out 出力 8 このポートは エラーが生じた DRP トランザクション数を示しま す SDI Wrapper Support の GTH ポート gth_wiz_reset_all_in 入力 1 PLL ( 位相ロックループ ) およびトランシーバープリミティブのアクティブなデータ方向をリセットするユーザー信号です primitives. このアクティブ High の非同期信号を gth_drpclk_in の少なくとも 1 周期間パルスすると プロセスが初期化されます gth_wiz_reset_tx_pll_and_d atapath_in gth_wiz_reset_rx_pll_and_d atapath_in 入力 1 トランシーバープリミティブの送信データ方向および関連する PLL をリセットするユーザー信号です このアクティブ High の非同期信号を gth_drpclk_in の少なくとも 1 周期間パルスすると プロセスが初期化されます 入力 1 トランシーバープリミティブの受信データ方向および関連する PLL をリセットするユーザー信号です トランシーバープリミティブ このアクティブ High の非同期信号を gth_drpclk_in の少なくとも 1 周期間パルスすると プロセスが初期化されます gth_wiz_txresetdone_out 出力 1 トランシーバープリミティブのトランスミッターリセットシーケ ンスが正しく完了したことを示すアクティブ High 信号です この出力は tx_usrclk_out に同期します gth_wiz_rxresetdone_out 出力 1 トランシーバープリミティブのレシーバーリセットシーケンスが 完了したことを示すアクティブ High の信号です この出力は rx_usrclk_out に同期します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 42

43 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 gth_drpclk_in 入力 1 GTH への DRP クロックです このポートは通常 rx_fxdclk_in と同 じクロックで駆動されます gth_qpll0_refclk_p_in 入力 1 このポートは MGTREFCLK0P または MGTREFCLK1P FPGA のい ずれかの FPGA 入力ポートへ接続する必要があり IBUFDS_GTE3 プリミティブの I ピンを駆動します gth_qpll0_refclk_n_in 入力 1 このポートは MGTREFCLK0N または MGTREFCLK1N のいずれか の FPGA 入力ポートへ接続する必要があり IBUFDS_GTE3 プリミ ティブの IB ピンを駆動します gth_qpll0_reset_in 入力 1 GTHE3_COMMON プリミティブの QPLL0RESET ピンのアクティブ High リセット入力です gth_qpll0_clk_out 出力 1 SDI Wrapper の gth_qpll0_clk_in ポートへ接続する必要があります GTHE3_COMMON プリミティブの QPLL0OUTCLK ポートからのク ロック出力です gth_qpll0_refclk_out 出力 1 SDI Wrapper の gth_qpll0_refclk_in ポートへ接続する必要がありま す GTHE3_COMMON プリミティブの QLL0OUTREFCLK ポートか らのクロック出力です gth_qpll0_lock_out 出力 1 SDI Wrapper の gth_qpll0_lock_in ポートへ接続する必要があります GTHE3_COMMON の QPLL0LOCK ポートから送信される QPLL0 のロックを示すアクティブ High 出力です gth_qpll1_refclk_p_in 入力 1 このポートは MGTREFCLK0P または MGTREFCLK1P FPGA のい ずれかの FPGA 入力ポートへ接続する必要があり IBUFDS_GTE3 プリミティブの I ピンを駆動します gth_qpll1_refclk_n_in 入力 1 このポートは MGTREFCLK0N または MGTREFCLK1N のいずれか の FPGA 入力ポートへ接続する必要があり IBUFDS_GTE3 プリミ ティブの IB ピンを駆動します gth_qpll1_reset_in 入力 1 GTHE3_COMMON プリミティブの QPLL1RESET ピンのアクティブ High リセット入力です gth_qpll1_clk_out 出力 1 SDI Wrapper の gth_qpll1_clk_in ポートへ接続する必要があります GTHE3_COMMON プリミティブの QPLL1OUTCLK ポートからのク ロック出力です gth_qpll1_refclk_out 出力 1 SDI Wrapper の gth_qpll1_refclk_in ポートへ接続する必要がありま す GTHE3_COMMON プリミティブの QLL1OUTREFCLK ポートか らのクロック出力です gth_qpll1_lock_out 出力 1 SDI Wrapper の gth_qpll1_lock_in ポートへ接続する必要があります GTHE3_COMMON の QPLL1LOCK ポートから送信される QPLL1 のロックを示すアクティブ High 出力です gth_cpll_refclk_out 出力 1 このポートは SDI Wrapper の gth_cpll_refclk_in ポートへ接続する ことを目的としています IBUFDS_GTE3 プリミティブから送信さ れるクロック出力です gth_cpll_lock_out 出力 1 GTHE3_CHANNEL の CPLLLOCK ポートからのアクティブ High の 周波数ロック出力です gth_rxn_in 入力 1 このポートは GTHE3_CHANNEL プリミティブの GTHRXN 差動入 力へ接続されます gth_rxp_in 入力 1 このポートは GTHE3_CHANNEL プリミティブの GTHRXP 差動入 力へ接続されます gth_txn_out 出力 1 このポートは GTHE3_CHANNEL プリミティブの GTHTXN 差動出 力へ接続されます gth_txp_out 出力 1 このポートは GTHE3_CHANNEL プリミティブの GTHYXP 差動出 力へ接続されます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 43

44 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 SDI Wrapper の GTH ポート gth_wiz_reset_all_in 入力 1 PLL ( 位相ロックループ ) およびトランシーバープリミティブのアクティブなデータ方向をリセットするユーザー信号です primitives. このアクティブ High の非同期信号を gth_drpclk_in の少なくとも 1 周期間パルスすると プロセスが初期化されます gth_wiz_reset_tx_pll_and_d atapath_in gth_wiz_reset_rx_pll_and_d atapath_in 入力 1 トランシーバープリミティブの送信データ方向および関連する PLL をリセットするユーザー信号です このアクティブ High の非同期信号を gth_drpclk_in の少なくとも 1 周期間パルスすると プロセスが初期化されます 入力 1 トランシーバープリミティブの受信データ方向および関連する PLL をリセットするユーザー信号です transceiver primitives. このアクティブ High の非同期信号を gth_drpclk_in の少なくとも 1 周期間パルスすると プロセスが初期化されます gth_wiz_txresetdone_out 出力 1 トランシーバープリミティブのトランスミッターリセットシーケ ンスが完了したことを示すアクティブ High の信号です この出力は tx_usrclk_out に同期します gth_wiz_rxresetdone_out 出力 1 トランシーバープリミティブのレシーバーリセットシーケンスが 完了したことを示すアクティブ High の信号です この出力は rx_usrclk_out に同期します gth_drpclk_in 入力 1 GTH への DRP クロックです このポートは通常 rx_fxdclk_in と同 じクロックで駆動されます gth_qpll0_clk_in 入力 1 SDI Wrapper Support の gth_qpll0_clk_out ポートへ接続する必要があ ります GTHE3_COMMON プリミティブの QPLL0OUTCLK ポート からのクロック入力です gth_qpll0_refclk_in 入力 1 SDI Wrapper Support の gth_qpll0_refclk_out ポートへ接続する必要が あります GTHE3_COMMON プリミティブの QPLL0OUTREFCLK ポートからのクロック入力です gth_qpll0_lock_in 入力 1 SDI Wrapper Support の gth_qpll0_lock_out ポートへ接続する必要が あります GTHE3_COMMON の QPLL0LOCK ポートから送信され る QPLL0 のロックを示すアクティブ High 出力です gth_qpll1_clk_in 入力 1 SDI Wrapper Support の gth_qpll1_clk_out ポートへ接続する必要があ ります GTHE3_COMMON プリミティブの QPLL1OUTCLK ポート からのクロック入力です gth_qpll1_refclk_in 入力 1 SDI Wrapper Support の gth_qpll1_refclk_out ポートへ接続する必要が あります GTHE3_COMMON プリミティブの QPLL1OUTREFCLK ポートからのクロック入力です gth_qpll1_lock_in 入力 1 SDI Wrapper Support の gth_qpll1_lock_out ポートへ接続する必要が あります GTHE3_COMMON の QPLL1LOCK ポートから送信され る QPLL1 のロックを示すアクティブ High 出力です gth_cpll_refclk_in 入力 1 GTHE3_CHANNEL プリミティブの GTREFCLK 用のクロック入力 です 通常 このポートは IBUFDS_GTE3 プリミティブからのク ロックで駆動されます gth_cpll_lock_out 出力 1 GTHE3_CHANNEL の CPLLLOCK ポートからのアクティブ High の 周波数ロック出力です gth_rxn_in 入力 1 このポートは GTHE3_CHANNEL プリミティブの GTHRXN 差動入 力へ接続します gth_rxp_in 入力 1 このポートは GTHE3_CHANNEL プリミティブの GTHRXP 差動入 力へ接続します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 44

45 表 2:SDI Wrapper のポートリスト ( 続き ) ポート名 I/O 幅説明 gth_txn_out 出力 1 このポートは GTHE3_CHANNEL プリミティブの GTHTXN 差動出 力へ接続します gth_txp_out 出力 1 このポートは GTHE3_CHANNEL プリミティブの GTHYXP 差動出 力へ接続します 表 2 の注記 1 : RX EDH プロセッサを含まないコアを生成した場合 (UHD-SDI コアの GUI で有効なオプション ) EDH プロセッサに関連する RX ポートはありません UHD-SDI コアに RX EDH プロセッサが含まれない場合は RX EDH プロセッサに対応するすべてのポートが含まれている kugth_uhdsdi_<line rate>_wrapper.v SDI ラッパーファイルを使用しないでください 代わりに kugth_uhdsdi_<line rate>_norxedh_wrapper.v SDI ラッパーファイルを使用してください 表 3 に SDI ラッパーへ適用できるパラメーターを示します 表 3:SDI ラッパーのパラメーターリスト 名称種類デフォルト説明 UHD-SDI GTH TX コントローラーのパラメーター TXPLLCLKSEL_TX_M_0 バイナリ 2'b11 このパラメーターは tx_m_in が Low の場合 GTHE3_CHANNEL の txpllclksel ピンに適用される値を指定します 有効な値は 2'b00 (CPLL) 2'b11 (QPLL0) および 2'b10 (QPLL1) です TXPLLCLKSEL_TX_M_1 バイナリ 2'b10 このパラメーターは tx_m_in が High の場合 GTHE3_CHANNEL の txpllclksel ピンに適用される値 を指定します 有効な値は 2'b00 (CPLL) 2'b11 (QPLL0) および 2'b10 (QPLL1) です UHD-SDI GTH RX コントローラーのパラメーター RX_FXDCLK_FREQ 整数 SDI Wrapper の clk ポートの固定周波数を指定 (Hz) します このクロックの公称周波数は タイミングを満たすため このクロックに依存する制御モジュールが正しく動作するように適切に指定します RXPLLCLKSEL_TX_M_0 バイナリ 2'b11 このパラメーターは 3'b110 を除くすべての rx_mode_out 値の場合に GTHE3_CHANNEL の rxpllclksel ピンに適用される値を指定します 有効な値は 2'b00 (CPLL) 2'b11 (QPLL0) および 2'b10 (QPLL1) です RXPLLCLKSEL_TX_M_1 バイナリ 2'b10 このパラメーターは rx_m_out が High で rx_mode_out が 3'b11 の場合に GTHE3_CHANNEL の rxpllclksel ピンに適用される値を指定します 有効な値は 2'b00 (CPLL) 2'b11 (QPLL0) および 2'b10 (QPLL1) です GTH Wizard IP のパラメーター XY_SITE 文字列型 "x0y16" このパラメーターは FPGA 内における GTH Wizard IP インスタンスの場所を指定します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 45

46 ビデオ伝送検出ポート UHD-SDI コアの RX 部分には SDI 転送フォーマット検出回路があります この回路では SDI データストリームにおけるビデオ転送のタイミングを分析し 受信しているビデオフォーマットを判断します この回路の動作は ST 352 ペイロード ID パケットの有無に依存しません この回路は 画像フォーマットではなく転送フォーマットを判断します これらは通常は同じですが 必ずしもそうとは限りません たとえば 3G-SDI level B-DL で 1080p 50Hz のビデオ転送が行われる場合 実際のビデオ転送は 1080i 50Hz となります ( 転送はインターレース方式で 画像はプログレッシブ方式 ) rx_t_family 出力ポートでは SDI 信号の転送におけるビデオフォーマットファミリを示す 4 ビットのコードを提供します 表 4 に この出力ポートのエンコードを示します また転送検出ユニットは SDI 転送の方式 ( インターレースまたはプログレッシブ ) も判断し rx_t_scan 出力ポートへレポートします 表 4:rx_t_family_out のエンコード rx_t_family 転送ビデオフォーマット アクティブピクセル 0000 SMPTE ST x SMPTE ST x SMPTE ST x SMPTE ST x NTSC 720 x PAL 720 x 不明 その他 予約 転送検出回路は SDI 信号の転送レートも判断します rx_t_rate_out ポートで転送フレームレートを示します ( 表 5 参照 ) フレームレートのエンコードは SMPTE ST 352 ビデオペイロード ID パケットの画像レートフィールドとして使用されるエンコードと同じです ただし rx_t_rate_out は 画像レートではなく 転送フレームレートを示します また rx_t_rate_out ポートの値は インターレース転送であっても常にフレームレートを示します 表 5:rx_t_rate_out のエンコード rx_t_rate_out 0000 なし Hz Hz Hz Hz Hz Hz Hz Hz Hz Hz フレームレート その他 予約 注記 : SDI RX が SDI 信号にロックした後 転送フォーマット検出回路が転送フォーマットを認識するまでには 最大で 2 ビデオフレーム必要です XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 46

47 SD SDI RX EDH プロセッサ SDI レシーバーには SD-SDI モードでのレシーバーエラーを検出するために EDH プロセッサをオプションで含めることができます EDH プロセッサは SD-SDI データストリーム内の EDH パケットをアップデートしません 単にエラーをレポートし そして各 EDH パケットからのエラーフラグをキャプチャするだけです EDH プロセッサには エラーがあるフィールドの数をカウントする 16 ビットのカウンターがあります 現在のエラー数は SDI Wrapper の rx_edh_errcnt_out ポートに出力されます カウンター値は rx_edh_clr_errcnt_in が High にアサートされるとクリアされます ユーザーは rx_edh_errcnt_en_in ポートを使用し このカウンターでカウントされるエラーの種類を指定できます このポートには 16 個の異なるエラータイプを有効 / 無効に設定するための 16 ビットがあります High に設定されたビットに基づいて 対応するエラータイプがカウンターでカウントされるようになります Low に設定されたビットは 対応するエラータイプを無効にします 同じフィールドで複数のエラーが生じる場合 EDH エラーカウンターは 1 つしかインクリメントしません 表 6 に rx_edh_errcnt_en_in ポートのビットのエンコードを示します 表 6 : rx_edh_errcnt_en_in のビット ビット番号 0 ANC EDH エラー エラー 1 ANC EDA エラー 2 ANC IDH エラー 3 ANC IDA エラー 4 ANC UES エラー 5 FF EDH エラー 6 FF EDA エラー 7 FF IDH エラー 8 FF IDA エラー 9 FF UES エラー 10 AP EDH エラー 11 AP EDA エラー 12 AP IDH エラー 13 AP IDA エラー 14 AP UES エラー 15 EDH パケットチェックサムエラー ANC エラーは補助データパケット内のエラーを示し FF エラーはフルフィールド (Full Field) CRC で検出されるエラーを示し AP エラーはアクティブ画像 (Active Picture) CRC で検出されるエラーを示します EDH パケットチェックサムエラーは EDH パケット内でチェックサムエラーが生じたことを示します ANC FF AP それぞれのエラー条件には 5 つの個々のエラーフラグがあります これらのフラグが High にアサートされることで エラー条件を示します EDH パケットの EDH EDA IDH IDA および UES エラーフラグの詳細は SMPTE RP 165 の資料を参照してください EDH エラー : EDH プロセッサがフィールドで CRC エラー (ANC パケットのチェックサムエラー ) を検出すると このエラー条件が生じます たとえば FF EDH エラーフラグは フルフィールド CRC でエラーが検出したことを示します EDA エラー : 受信した EDH パケットの EDA または EDH フラグがアサートされると このエラー条件が生じます IDH エラー : このエラー条件は RX EDH プロセッサでサポートされていません IDA エラー : 受信した EDH パケットの IDA または IDH フラグがアサートされると このエラー条件が生じます UES エラー : 受信した EDH パケットの UES フラグがアサートされると このエラー条件が生じます XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 47

48 エラーカウンターが有効の場合 これらがカウントされると同時に 検出された ANC EDH AP EDH および FF EDH エラーも rx_edh_anc_out rx_edh_ap_out および rx_edh_ff_out ポートのそれぞれのアサートによって示されます つまり rx_edh_anc ポートは 補助データパケットにチェックサムエラーが検出されるとアサートされます rx_edh_ap ポートは 計算されたアクティブ画像 CRC が EDH パケット内の AP CRC と一致していない場合にアサートされます rx_edh_ff_out ポートは 計算されたフルフィールド CRC が EDH パケット内の FF CRC と一致していない場合にアサートされます RX EDH プロセッサも EDH パケットからの ANC AP および FF エラーフラグを rx_edh_anc_flags_out rx_edh_ap_flags_out および rx_edh_ff_flags_out ポートにそれぞれ出力します これらの出力ポートでは 最後に受信した EDH パケットで生じたフラグを正確に反映します したがって これらは エラーカウンターをインクリメントするための検出されたエラーや rx_edh_anc_out rx_edh_ap_out および rx_edh_ff_out ポートの出力とは異なります たとえば rx_edh_ap_flags_out ポートの EDH フラグ ( ビット 0) は 最後に受信した EDH パケットで AP EDH フラグがセットされたことを示しますが rx_edh_ap_out ポートは EDH プロセッサでローカルに計算されたアクティブ画像 CRC が EDH パケットの AP CRC と一致していないことを示します rx_edh_anc_flags_out rx_edh_ap_flags_out および rx_edh_ff_flags_out ポートはそれぞれ 5 ビット幅です これら 3 つすべてのエンコードは同じです ( 表 7 参照 ) 表 7 : rx_edh_anc_flags_out rx_edh_ap_flags_out および rx_edh_ff_flags_out ポートのエンコード ビット番号 0 EDH 1 EDA 2 IDH 3 IDA 4 UES エラー また RX EDH プロセッサは EDH パケットのフォーマットやコンテンツに応じて 4 つのエラーフラグを生成します これらのエラーフラグは rx_edh_packet_flags_out ポートに出力されます 表 8 に このポートのエンコードを示します 表 8 : rx_edh_packet_flags ポートのエンコード ビット番号 0 EDH パケットを確認できない エラー 1 EDH パケットのユーザーデータワードにパリティエラーがある 2 EDH パケットにチェックサムエラーがある 3 EDH パケットにフォーマットエラーがある ( 無効なデータ数など ) GTH の初期化とリセット および変更シーケンスのエラーコード GTH RX の初期化シーケンスまたはリセットシーケンス あるいは RX SDI モードの動的変更を実行中にエラーが発生すると rx_change_fail_out ポートが High にアサートされてエラーコードが rx_change_fail_code_out ポートに出力されます 各シーケンスは リトライカウンターで許容される最大回数まで再実行された後にのみ エラーで終了します リトライ可能な最大回数は RX_RETRY_CNTR_MSB パラメーターまたは SDI Wrapper モジュールの v_smpte_uhdsdi_kugth_control.v ジェネリックで指定したリトライカウンターのビット幅で制御されます リトライの回数は次の式で求められます リトライ = 2 RX_RETRY_CNTR_MSB - 1 表 9 に rx_change_fail_out ポートのエンコードを示します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 48

49 表 9 : rx_change_fail_code_out ポートのエンコード コード 0 予約 説明 1 GTH トランシーバーの RXCDR_CFG2 属性を変更することが必要となる RX SDI モードの変更が要求されると v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しようとします v_smpte_uhdsdi_kugth_drp 制御モジュールが 書き込まれた RXCDR_CFG2 値とリトライ後の実際のコンテンツとの間に不一致を検出すると このコードでシーケンスにエラーが発生します 2 GTH トランシーバーの RXOUT_DIV 属性を変更することが必要となる RX SDI モードの変更が要求されると v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しようとします v_smpte_uhdsdi_kugth_drp 制御モジュールが 書き込まれた RXOUT_DIV 値とリトライ後の実際のコンテンツとの間に不一致を検出すると このコードでシーケンスにエラーが発生します 3 動的変更を実行中に DRP および GTH ポートに対する一連のシーケンスが完了した後 GTH RX 部分をリセットするために GTH Wizard IP の gtwiz_reset_rx_datapath_in ポートがアサートされます リトライ後に GTH Wizard IP の gtwiz_reset_rx_done_out ポートがアサートされない場合 このコードでシーケンスにエラーが発生します 4 GTH トランシーバーの RXDATA_WIDTH 属性を変更することが必要となる RX SDI モードの変更が要求されると v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しようとします v_smpte_uhdsdi_kugth_drp 制御モジュールが 書き込まれた RXDATA_WIDTH 値とリトライ後の実際のコンテンツとの間に不一致を検出すると このコードでシーケンスにエラーが発生します 5 GTH トランシーバーの RXINT_DATAWIDTH 属性を変更することが必要となる RX SDI モードの変更が要求されると v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しようとします v_smpte_uhdsdi_kugth_drp 制御モジュールが 書き込まれた RXINT_DATAWIDTH 値とリトライ後の実際のコンテンツとの間に不一致を検出すると このエラーコードでシーケンスにエラーが発生します 6 予約 7 予約 rx_change_fail_out ポートが High に遷移するすべてのシーケンスエラーでは SDI Wrapper の GTH RX 制御ロジックがエラー状態で停止します GTH RX はそのまま SDI 信号を受信できますが 通常実行する SDI モードの動的変更は行いません rx_change_fail_out の High 遷移で示されるエラーが生じた場合には rx_rst_in および gth_wiz_reset_rx_pll_and_datapath_in を使用して GTH RX 全体をリセットする必要があります この出力は gth_drpclk_in に同期します エラーが繰り返される場合は アプリケーションの設計に問題があると考えられます GTH TX の初期化シーケンスまたはリセットシーケンス あるいは TX SDI モードの動的変更を実行中にエラーが発生すると tx_change_fail_out ポートが High にアサートされてエラーコードが tx_change_fail_code_out ポートに出力されます 各シーケンスは リトライカウンターで許容される最大回数まで再実行された後にのみ エラーで終了します リトライ可能な最大回数は TX_RETRY_CNTR_MSB パラメーターまたは SDI Wrapper モジュールの v_smpte_uhdsdi_kugth_control.v ジェネリックで指定したリトライカウンターのビット幅で制御されます リトライの回数は次の式で求められます リトライ = 2 TX_RETRY_CNTR_MSB - 1 XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 49

50 表 10 に tx_change_fail_code ポートのエンコードを示します 表 10 : tx_change_fail_code_out ポートのエンコード コード 説明 0 予約 1 GTH トランシーバーの TXDATA_WIDTH 属性を変更することが必要となる TX SDI モードの変更が要求されると v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しようとします v_smpte_uhdsdi_kugth_drp 制御モジュールが 書き込まれた TXDATA_WIDTH 値とリトライ後の実際のコンテンツとの間に不一致を検出すると このコードでシーケンスにエラーが発生します 2 GTH トランシーバーの TXINT_DATAWIDTH 属性を変更することが必要となる TX SDI モードの変更が要求されると v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しようとします v_smpte_uhdsdi_kugth_drp 制御モジュールが 書き込まれた TXINT_DATAWIDTH 値とリトライ後の実際のコンテンツとの間に不一致を検出すると このコードでシーケンスにエラーが発生します 3 GTH トランシーバーの TXOUT_DIV 属性を変更することが必要となる TX SDI モードの変更が要求されると v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しようとします v_smpte_uhdsdi_kugth_drp 制御モジュールが 書き込まれた TXOUT_DIV 値とリトライ後の実際のコンテンツとの間に不一致を検出すると このエラーでシーケンスにエラーが発生します 4 動的変更を実行中に DRP および GTH ポートに対する一連のシーケンスが完了した後 GTH Wizard IP の gtwiz_reset_tx_datapath_in ポートがアサートされて GTH TX 部分がリセットされます リトライ後に GTH Wizard IP の gtwiz_reset_tx_done_out ポートがアサートされない場合 このコードでシーケンスにエラーが発生します 5 予約 6 予約 7 予約 SDI のタイミング制約 SDI Wrapper Support および SDI Wrapper では GTH 基準クロック (MGTREFCLK0/1P/N) と GTH DRP クロック用の FPGA IOB ポートの周期に対してのみ制約を適用する必要があります rxusrclk および txusrclk クロックは 最大ターゲットラインレートに基づいて GTH Wizard IP 内で自動的に制約されるため これ以上の制約を適用する必要はありません 一般的に 6G-SDI およびそれ以下の場合は 148.5MHz となり 12G-SDI の場合は 297MHz となります UHD-SDI ラッパーには SD-SDI モードの場合にデータを回復するための NI-DRU が含まれます NI-DRU は SD-SDI モードでのみ動作し このモードでの RXOUTCLK の周波数は 148.5MHz です 12G-SDI をサポートするアプリケーションの場合 RXOUTCLK は 297MHz に制約され NI-DRU は 297MHz でのタイミングを満たしません しかし NI-DRU は RXOUTCLK が 148.5MHz の場合のみアクティブとなるため その必要はありません NI-DRU を 148.5MHz に制約し RX のその他の部分を 297MHz に制約することが可能です サンプルデザインでは 次の 2 つの制約を使用しています set_property KEEP_HIERARCHY true [get_cells \ uhdsdi_demo/sdi_4ch_rxtx/genblk1[0].sdi_wrapper_support/sdi_wrapper/uhdsdi_kugth_ctrl/nidru] create_generated_clock -name nidru_clk0 -source [get_pins -of [get_clocks rxoutclk_out[0]]] \ XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 50

51 SDI デモンストレーションの例 -divide_by 2 [get_pins \ uhdsdi_demo/sdi_4ch_rxtx/genblk1[0].sdi_wrapper_support/sdi_wrapper/uhdsdi_kugth_ctrl/nidru/ \ Inst_dru/CLK] 次の制約で認識されるべきクロック名が合成で変更されないように NI-DRU モジュールに KEEP_HIERARCHY 制約が適用されています この制約の get_cells 部分は SDI/GTH Control/NIDRU の NI-DRU へのパスを使用しています サンプルデザインでは UHD-SDI ラッパーに SDI のインスタンス名が付けられています このパスの SDI 部分をユーザーアプリケーションの UHD-SDI ラッパーのインスタンス名に変更してください KEEP_HIERARCHY 制約は 合成にのみ適用され インプリメンテーションには適用されません したがって インプリメンテーションツールが実行する最適化機能に影響を与えることはありません create_generated_clock 制約は NI-DRU 専用の階層クロックを作成します これは 物理的に分離したクロックではありません タイミング解析専用に使用される論理クロックです NI-DRU は 常に GTH の RXOUTCLK で駆動されます この制約により NI-DRU の CLK ポートへ接続されたクロックは GTH RXOUTCLK から派生しますが その最大周波数の半分になることがタイミングアナライザーに示されます RXOUTCLK は 297MHz に制約されるため NI-DRU は 148.5MHz に制約されます 示されない限り Vivado はすべてのクロックが関連すると見なします 通常 SDI Wrapper のさまざまなクロックは関連していないため 関連していないことを示すには制約が必要です これらの制約の設定例は ここで提供する SDI アプリケーション例のタイミング制約ファイルを参照してください SDI デモンストレーションの例 このアプリケーションノートでは SDI デモンストレーションアプリケーションを紹介します このデモンストレーションのソースコードは Verilog のみで提供しています Vivado を使用して これらのデモンストレーションを構築する手順については ソースコードが含まれている xapp1248.zip ファイル内の readme.txt ファイルをお読みください 生成済みの FPGA コンフィギュレーションファイルも両言語で提供されており UltraScale FPGA KCU105 評価ボードにロードできます これらのデモンストレーションを実行するには inrevium 社製 TB-FMCH-12GSDI FMC が必要です これを KCU105 ボードの FMC コネクタへ接続することで SDI ケーブルドライバーと SDI ケーブルイコライザーが提供されます またこの FMC は GTH トランシーバーの基準クロックとして使用される SDI 特有のクロックソースも提供します SDI デモンストレーション このデモンストレーションアプリケーションは KCU105 評価ボードを使用し SDI RX と SDI TX インターフェイスを含みます KC705 ボードの HPC FMC コネクタへ接続された Fidus 社製 12G-SDI FMC ボードが必要です サンプルデザインには テストパターンジェネレーターで駆動される UHD-SDI トランスミッターが 1 つあります これは SD-SDI HD-SDI 3G-SDI (level A および B) 6G-SDI および 12G-SDI 動作をサポートします UHD-SDI トランスミッターは Vivado Analzyer VIO モジュールで制御します サンプルデザインには UHD-SDI レシーバーも 1 つ含まれており トランスミッターと同じモードで動作できます UHD-SDI レシーバーのステータスは Vivado Analzyer VIO モジュールで監視します UHD-SDI レシーバーによるデータストリーム ライン番号 およびビデオタイミング信号の出力は Vivado Analyzer ILA モジュールに取り込まれて Vivado Analyzer ツールで解析できます SDI TX は ビデオパターンジェネレーターで駆動されます SDI TX の SDI モード ビデオフォーマット およびビデオパターンは Vivado ハードウェアマネージャーの Vivado VIO ウィンドウで選択できます 別の Vivado VIO ウィンドウを使用して SDI RX のステータスを監視できます また SDI RX で受信したビデオデータは Vivado ILA ウィンドウに表示できます inrevium 社製 SDI FMC ボードには SDI インターフェイス用のコネクタが 5 つあります 右端にある 2 つのコネクタ ( 図 18) は 単方向のみの SDI インターフェイスです 一番右が CH0 TX で その隣が CH0 RX です これらは このデモンストレーションで使用されるコネクタです 2 つ目 3 つ目 4 つ目の SDI インターフェイスには それぞれ 1 つのコネクタ (CH1 CH2 CH3) があり これらは双方向インターフェイスで FMC カードの F_CHn_DIR ピンによって制御できます 図 17 のデモンストレーションブロック図では クワッド内の最初の GTH トランシーバーへ接続される SDI チャネル 0 を示しています XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 51

52 SDI デモンストレーションの例 X-Ref Target - Figure 17 図 17 : UHD SDI サンプルデザインのブロック図 inrevium 社製 SDI FMC ボードには 148.5MHz および 148.5/1.001MHz のオシレーターがあり このデモンストレーションでは各トランシーバーへ送信される QPLL0 および QPLL1 に基準クロックを供給するためにそれぞれ使用しています QPLL0 は 148.5MHz 基準クロックを使用し QPLL1 は 148.5/1.001MHz 基準クロックを使用します GTH トランスミッターは すべての SDI ビットレートに対応できるように QPLL0 および QPLL1 からのシリアルクロックを動的に切り換えます inrevium 社製のボード上にある LMH1983 デバイスは 制御モジュールが必要とする DRP クロックと固定周波数クロックに使用される 27MHz クロックを UltraScale FPGA に提供します このデモでは SDI インターフェイスを最大 4 つまで簡単に増加できるように 4 チャネル SDI ラッパー (kugth_uhdsdi_4ch_wrapper.v) が生成されており このモジュールには SDI Wrapper Support が 1 つ SDI Wrapper が 3 つインスタンシエートされています Verilog の Generate 文に含まれるビデオジェネレーター メイン および RX Vivado VIO により チャネル数を簡単に増加できます クワッド SDI デモンストレーションの実行に必要なものは次のとおりです ザイリンクス Kintex UltraScale FPGA KCU105 評価キット inrevium/fidus 社製 TB-FMCH-12GSDI SDI FMC HD-BNC から BNC への変換ケーブル (2 本 ) SDI 信号ソース SDI 信号シンク (SDI トランスミッターからの信号を表示する波形モニターまたはその他のデバイス ) ザイリンクス Vivado ツール inrevium 社製 SDI FMC ボードは KCU105 ボード上の FMC HPC コネクタへ接続します ( 図 18 参照 ) XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 52

53 SDI デモンストレーションの例 X-Ref Target - Figure 18 SDI トランスミッターを制御したり VIO ウィンドウでステータスや SDI レシーバーからの受信データを分析するには Vivado ハードウェアマネージャーを使用します KCU105 ボードは 付属の USB JTAG ケーブルを用いて PC へ接続します Vivado ハードウェアマネージャーを使用して このアプリケーションノートで提供する kcu105_uhdsdi_demo.bit というコンフィギュレーションファイルを KCU105 ボード上の Kintex UltraScale FPGA へロードします この後 ハードウェア (LTX) コンフィギュレーションファイルが読み込まれて 3 つの hw_vios と 1 つの hw_ila が自動的に開きます このアプリケーションノートでは Vivado プロジェクトファイルが提供されているため デフォルトの HEX やバイナリ表示ではなく 図 19 のように [hw_vios] タブが表示されます 次の手順に従って bit_files.xpr を開き コンパイル済みビットストリームをダウンロードします コンパイル済みビットファイルを使用した FPGA のコンフィギュレーション 1. xapp1248.zip ファイルを解凍します 2. UART USB ポートを使用して KCU105 へ接続します 3. KCU105ボードに電源を投入します 図 18 : KCU105 ボードと TB FMCH 3GSDI2A ボードの接続 XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 53

54 SDI デモンストレーションの例 4. KCU105 システムコントローラーへ接続して VADJ を 1.8V に設定します 1 つの microusb コネクタが Zynq システムコントローラーの UART と UltraScale FPGA の UART の両方へのアクセスを提供することに留意してください Windows デバイスマネージャーでは CP210x に関連する強化された COM ポートがシステムコントローラーへ接続されるポートとなります ターミナルウィンドウ ( N 1) を開いて COM ポートを KCU105 システムコントローラーと通信するように設定します 1 つの microusb コネクタが Zynq システムコントローラーの UART と UltraScale FPGA の UART の両方へのアクセスを提供することに留意してください Windows デバイスマネージャーでは CP210x に関連する強化された COM ポートがシステムコントローラーへ接続されるポートとなります UART ターミナルが接続された後 KCU105 に電源を再投入して UART ターミナルのシステムコントローラーメニューをリフレッシュします システムコントローラーメニューで次のオプションを選択します 4.FPGA メザニンカード (FMC) の設定を調節します 次のメニューで 次を選択します 4.FMC VADJ を 1.8V に設定します 5. KCU105 ボード上の電源スイッチの近くに配置されている DS19 LED で VADJ のパワーグッド ( 正常動作 ) を見つけます 6. JTAG USB ポートを使用して KCU105 へ接続します 7. Vivado Tcl コンソールに次を順番に入力します a. cd <unzip_dir>\ready_for_download b. source bit_files.tcl 8. プロジェクトをロードして FPGA のプログラミングが完了するまで待機します 注記 : UHD-SDI RX がロックしていない場合は KCU105 ボードの電源スイッチ付近に配置されている DS19 LED で FMCH ポートの VADJ 電圧が 1.8V (VADJ のパワーグッド ) であることを確認する必要があります この LED が点灯していない場合 KCU105 のシステムコントローラーの UART インターフェイスを介して VADJ の電圧を調整できます デモステータス LED GPIO_LED_0- RX は SD-SDI モードにロック GPIO_LED_1- RX は HD-SDI モードにロック GPIO_LED_2- RX は 3G-SDI モードにロック GPIO_LED_3- RX は 6G-SDI モードにロック GPIO_LED_4- RX は 12G-SDI モードにロック GPIO_LED_5- RX ビットレートインジケーター GPIO_LED_6- RX 変更完了インジケーター GPIO_LED_7- FMC 初期化完了 XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 54

55 SDI デモンストレーションの例 X-Ref Target - Figure 19 図 19 : Vivado ハードウェアマネージャーのメインおよび CH0 VIO 画面 SDI トランスミッターで生成される信号を観察するために SDI 波形モニターまたはその他の SDI ディスプレイデバイスを CH0 TX の出力へ接続します または SDI トランスミッター出力は ケーブルを使用して inrevium 社製 FMC の CH0 RX 入力へ接続できます inrevium 社製 SDI FMC ボードの SDI コネクタは 標準 BNC コネクタではないため HD-BNC コネクタを標準 BNC コネクタへ接続するにはアダプターケーブルが必要です 各 SDI トランスミッターには VIO 制御ウィンドウが 1 つあります 図 20 に TX01 の VIO 制御ウィンドウを示します X-Ref Target - Figure 20 図 20 : SDI デモンストレーションの TX0 VIO 制御ウィンドウ TX VIO ウィンドウにある最初の 3 つのアイテムは それぞれ最後に実行された GTH TX 初期化シーケンスまたは動的変更シーケンスのステータスを示します 最後のシーケンスが正常に完了した場合は [Change Done] インジケーターが High になります シーケンスにエラーが発生した場合は [Change Fail] インジケーターが赤色になり [Change Failure Code] にエラーの原因が示されます ( 表 9 参照 ) tx_resetdone インジケーターは GTH Wizard IP の gth_wiz_txresetdone_out 出力ポートのステータスを示します 通常動作中 このインジケーターは High になります 表 11 に示すように TX の [Bit Rate] [Video Format] および [SDI Mode] の組み合わせによって SDI トランスミッターで生成される SDI 信号のフォーマットが決定します XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 55

56 SDI デモンストレーションの例 表 11 : クワッド SDI デモンストレーションの TX ビデオフォーマットの選択 TX のビデオフォーマット SD SDI (SDI モード = 1) HD SDI (SDI Mode = 0) TX Bit Rate = 0 0 NTSC 720p 50Hz 1 PAL 1080pSF 24Hz 2 NTSC 1080i 60Hz 3 PAL 1080i 50Hz 4 NTSC 1080i 30Hz 5 PAL 1080p 25Hz 6 NTSC 1080i 24Hz TX Bit Rate = pSF 23.98Hz 1080i 59.94Hz 1080i 29.97Hz 1080i 23.98Hz 7 PAL 720p 60Hz 720p 59.94Hz 3G SDI Level A (SDI モード = 2) TX Bit Rate = i 60Hz 1080p 50Hz TX Bit Rate = i 59.94Hz 3G SDI Level B (SDI モード = 3) TX Bit Rate = i 30Hz 1080p 25Hz TX Bit Rate = i 29.97Hz 6G SDI (SDI モード = 4) TX Bit Rate = p 30Hz 2160p 25Hz TX Bit Rate = p 29.97Hz 12G SDI (SDI モード = 5) TX Bit Rate = p 60Hz 2160p 50Hz TX Bit Rate = p 59.94Hz TX のビデオパターン値には SDI TX を駆動するビデオパターンジェネレーターで生成されるビデオテストパターンを選択します HD-SDI および 3G-SDI モードの場合は 3 つのテストパターンがあります 0 = SMPTE RP 219 カラーバー 1 および 3 = SDI パソロジカルチェックフィールド 2 = 75% カラーバー SD-SDI モードの場合は 2 つのテストパターンがあります 0 および 2 = SMPTE EG 1 カラーバー 1 および 3 = SDI パソロジカルチェックフィールド 表 1 に示す tx_mode_in 値のほかに TX_MODE を 3'b011 に設定して 3G-SDI Level B パターンを送信することも可能です それぞれの SDI レシーバーには レシーバーのステータスをモニターするための VIO ウィンドウと SDI RX で受信したビデオデータを表示できる ILA ウィンドウがあります 図 21 に RX0 の VIO ウィンドウを示します RX VIO ウィンドウにある最初の 3 つのアイテムは それぞれ最後に実行された GTH RX 初期化シーケンスまたは動的変更シーケンスのステータスを示します 最後のシーケンスが正常に完了した場合は [Change Done] インジケーターが High になります シーケンスにエラーが発生した場合は [Change Fail] インジケーターが赤色になり [Change Failure Code] にエラーの原因が示されます ( 表 9 参照 ) [RX Error] インジケーターは CRC または EDH エラーが検出された場合は High ( 赤色 ) になり エラーが検出されていない場合は Low ( 灰色 ) になります エラーの検出後 [RX Error Clear] をクリックして手動でリセットされるまで このインジケーターは赤色のままです [RX Error Count] は カウンターが最後にクリアされてから受信した CRC の数 (HD-SDI および 3G-SDI モードの場合 ) または EDH エラーの数 (SD-SDI モードの場合 ) を整数値で示します エラーカウンターは XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 56

57 SDI デモンストレーションの例 [RX Error Clear] をクリックして手動でクリアできます また 入力される SDI 信号がビットレートを変更して SDI RX が信号へ再ロックする必要がある場合は エラーカウンターが自動的にクリアされます ただし エラーカウンターは新しい SDI 信号へロックするプロセスの早い段階で自動クリアされるため SDI RX が新しい SDI 信号へ完全にロックした時点でエラー数は通常 0 になりません RX が 3G-SDi Level B 信号を受信している場合 [RX Level B] インジケーターは High ( 青色 ) となり その他の場合は Low ( 灰色 ) となります [RX Bit Rate] には 受信する SDI 信号のビットレートが示されます [RX SDI Mode] は 表 1 に準じて rx_mode_out の現在の値を示します [SDI RX] が入力される SDI 信号にロックされると [RX Locked] ステータスが High ( 緑色 ) になり ロックされていない場合は Low ( 灰色 ) になります GTH Wizard IP が GTH RX リセットシーケンスを完了すると [RX Reset Done] インジケーターが High ( 緑色 ) になります [RX Video Family] [RX Frame Rate Transport] および [RX Scan Mode] は 検出されたビデオに関する情報を提供し これらは表 1 を使用してデコードできます [ST 352 PID] (ST 352 ペイロード ID データバイト ) は 4 データバイトの ST 352 ペイロード ID パケットです これらはバイト 1 が左側 バイト 4 が右側にあり [ST 352 PID Valid] が緑色の場合のみ有効です [RX Controller Reset Button] は RX コントローラーモジュールのリセットルーチンを開始します X-Ref Target - Figure 21 図 21 : SDI デモンストレーションの RX ステータスウィンドウ XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 57

58 リファレンスデザイン 図 22 に 入力される 12G-SDI ストリームの ILA ウィンドウのスクリーンショットを示します ILA の使用法については Vivado Design Suite チュートリアル : プログラムおよびデバッグ (UG936) [ 参照 14] を参照してください X-Ref Target - Figure 22 図 22 : Vivado ILA を使用して SDI デモンストレーションの RX データを表示 リファレンスデザイン このアプリケーションノートのリファレンスデザインは 次のリンクからダウンロードできます ( 登録が必要 ) ツールフローおよび検証 ここで示すチェックリストでは リファレンスデザインで使用されるツールフローおよび検証手順を示します 表 12 : リファレンスデザインの詳細 開発者 ターゲットデバイス ソースコードの提供 ソースコードの形式 パラメーター 既存のザイリンクスアプリケーションノート / リファレンスデザイン IP カタログ サードパーティからデザインへのコード /IP の使用 論理シミュレーションの実施 タイミングシミュレーションの実施 論理シミュレーションおよびタイミングシミュレーションでのテストベンチの利用 テストベンチの形式 使用したシミュレータ / バージョン SPICE/IBIS シミュレーションの実施 全般 説明 Gilbert Magnaye John Snow GTH トランシーバー搭載の UltraScale デバイス あり Verilog シミュレーション あり Vivado IP カタログから生成される IP コア なし なし なし N/A N/A N/A インプリメンテーション 使用した合成ツール / バージョン Vivado XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com 58

『7 シリーズ GTX トランシーバーを使用した SMPTE SDI インターフェイスの実装』 (XAPP1249)

『7 シリーズ GTX トランシーバーを使用した SMPTE SDI インターフェイスの実装』 (XAPP1249) XAPP1249 (v1.1) 2015 年 8 月 14 日 アプリケーションノート :GTX トランシーバー Kintex-7 Virtex-7 Zynq-7000 7 シリーズ GTX トランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : Gilbert Magnaye John Snow 概要 放送業界向けの映像機器には SMPTE (Society of Motion

More information

ザイリンクス XAPP1097 : Artix-7 FPGA GTP トランシーバーを使用した SMPTE SDI インターフェイスの実装

ザイリンクス XAPP1097 : Artix-7 FPGA GTP トランシーバーを使用した SMPTE SDI インターフェイスの実装 アプリケーションノート : Artix-7 ファミリ XAPP1097 (v1.0) 2013 年 12 月 5 日 Artix-7 FPGA GTP トランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : John Snow 概要 放送業界向けの映像機器には SMPTE (Society of Motion Picture and Television Engineers)

More information

Kintex UltraScale GTH トランシーバーを使用した SMPTE 3G-SDI インターフェイスの実装 (XAPP1290)

Kintex UltraScale GTH トランシーバーを使用した SMPTE 3G-SDI インターフェイスの実装 (XAPP1290) アプリケーションノート : Kintex UltraScale FPGA XAPP1290 (v1.1) 2016 年 9 月 29 日 Kintex UltraScale GTH トランシーバーを使用した SMPTE 3G-SDI インターフェイスの実装著者 : Jerin Jacob Gilbert Magnaye 概要 このアプリケーションノートでは 完全な SDI インターフェイスを構築するために

More information

GTR Board

GTR Board TB-FMCH-12GSDI ご購入に際してのご注意 1 変更履歴版数 日付 内容 担当者 Rev.1.00 2015/06/25 初版 天野 Rev.1.01 2015/07/22 2 章 Pre-production 品に関する記載を削除 天野 2016/09/16 3 章評価環境を更新 4 章ボードの機能評価状況を更新 6 章リファレンスデザインのダウンロード先を追加 森田 2 目次 1. 概要と関連書類...

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

IBM Proventia Management/ISS SiteProtector 2.0

IBM Proventia Management/ISS  SiteProtector 2.0 CHAPTER 10 IBM Proventia Management/ISS SiteProtector 2.0 この章は 次の内容で構成されています グローバルイベントポリシーを定義する IBM Proventia Management/ISS SiteProtector (P.10-1) (P.10-5) グローバルイベントポリシーを定義する IBM Proventia Management/ISS

More information

御使用の前に必ず本取扱説明書をよく読んで理解して 安全の為の指示に従って下さい もし 不明点が有れば販売店か弊社におたずね下さい 目次 1. はじめに 対応 ios デバイス ダウンロードおよびライセンス認証 ダウンロード ライセ

御使用の前に必ず本取扱説明書をよく読んで理解して 安全の為の指示に従って下さい もし 不明点が有れば販売店か弊社におたずね下さい 目次 1. はじめに 対応 ios デバイス ダウンロードおよびライセンス認証 ダウンロード ライセ 低遅延デコーダ HD-SDI 出力タイプ LD-610 ipad 版デコーダ 取扱説明書 Ver. 0.1 御使用の前に必ず本取扱説明書をよく読んで理解して 安全の為の指示に従って下さい もし 不明点が有れば販売店か弊社におたずね下さい 目次 1. はじめに... 2 1.1 対応 ios デバイス... 2 2. ダウンロードおよびライセンス認証... 3 2.1 ダウンロード... 3 2.2

More information

:30 18:00 9:30 12:00 13:00 17:00

:30 18:00 9:30 12:00 13:00 17:00 http://pioneer.jp/support/ 0120-944-222 044-572-8102 9:30 18:00 9:30 12:00 13:00 17:00 この取扱説明書について 製品本体の USB DAC 端子に USB ケーブルでパソコンを接続すると パソコンからの音声信号を再生できます この機能を使用するためには 専用のドライバーソフトウェアをパソコンにインストールする必要があります

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

Q-SYS Designer Version 5.4 Release Note ソフトウェア要件 Q-SYS Designer は下記の OS での動作確認をしております Windows 7 Professional 32-bit / 64-bit Windows 8.1 Professional

Q-SYS Designer Version 5.4 Release Note ソフトウェア要件 Q-SYS Designer は下記の OS での動作確認をしております Windows 7 Professional 32-bit / 64-bit Windows 8.1 Professional Q-SYS Designer Version 5.4 Release Note ソフトウェア要件 Q-SYS Designer は下記の OS での動作確認をしております Windows 7 Professional 32-bit / 64-bit Windows 8.1 Professional 32-bit / 64-bit Windows 10 Pro 64bit Windows XP および

More information

SetupVerup_dl_M

SetupVerup_dl_M 最新版プログラムのダウンロード EX-TREND 武蔵 Ver.18 シリーズ FC コンシェルジュの 最新版へのアップグレード サービスを利用して お使いの EX-TREND 武蔵シリーズ を EX-TREND 武蔵 Ver.18 シリーズ にバージョンアップする方法を解説します 1. プロテクトの更新は お済みですか? プログラムをバージョンアップした場合は プロテクトの更新が必要です まだ更新していない場合は

More information

スイッチ ファブリック

スイッチ ファブリック CHAPTER 4 この章では Cisco CRS-1 キャリアルーティングシステムのについて説明します この章の内容は 次のとおりです の概要 の動作 HS123 カード 4-1 の概要 の概要 は Cisco CRS-1 の中核部分です はルーティングシステム内の MSC( および関連する PLIM) と他の MSC( および関連する PLIM) を相互接続し MSC 間の通信を可能にします は

More information

シナリオ:サイトツーサイト VPN の設定

シナリオ:サイトツーサイト  VPN の設定 CHAPTER 4 シナリオ : サイトツーサイト VPN の設定 この章では セキュリティアプライアンスを使用してサイトツーサイト VPN を作成する方法について説明します セキュリティアプライアンスが提供するサイトツーサイト VPN 機能を使用すると ネットワークセキュリティを維持しながら 低コストな公衆インターネット接続で ビジネスネットワークを世界中のビジネスパートナー およびリモートオフィスに拡張できます

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

SNC-HM662 EdgeStorage manual J

SNC-HM662 EdgeStorage manual J ネットワークカメラ SNC-HM662 Edge Storage マニュアル ~SD / microsd で映像録画 再生 ~ 目次 1. Edge Strage 機能について 1-1. Edge Storage 機能とは 1-2. Edge Storage 機能を使用する上での注意点 1-3. 使用可能なメモリーカード 2. Edge Storage 機能使用時の推奨設定 3. Edge Storage

More information

4 本体の入力を USB-B 端子に対応する入力に切り換える 下記の画面表示になります 手順 8 の画面になるまでしばらくお待ちください 5 解凍したフォルダー内にある "Setup.exe" をダブルクリックして実行する InstallShield ウィザードが表示されます xxxxxxxxxx.

4 本体の入力を USB-B 端子に対応する入力に切り換える 下記の画面表示になります 手順 8 の画面になるまでしばらくお待ちください 5 解凍したフォルダー内にある Setup.exe をダブルクリックして実行する InstallShield ウィザードが表示されます xxxxxxxxxx. ドライバーソフトウェアのインストール USB オーディオドライバーインストールマニュアル (Windows 用 ) 目次 ドライバーソフトウェアのインストール... 1 ページ ドライバーソフトウェアのアンインストール... 3 ページ 困ったとき (Windows 7 の場合 )... 4 ページ 困ったとき (Windows 8/8.1/10 の場合 )... 8 ページ ドライバー名およびデバイス名を

More information

SetupVerup_dl_M

SetupVerup_dl_M 最新版プログラムのダウンロード EX-TREND 武蔵シリーズ FC コンシェルジュの 最新版へのアップグレード サービスを利用して お使いのプログラムを最新のプログラムにバージョンアップする方法を解説します 1. プロテクトの更新は お済みですか? バージョンアップしたプログラムは プロテクトを更新しないと使用できません まだ更新していない場合は プロテクト更新の画面に戻って プロテクトの更新をおこなってください

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを

プリンタドライバのインストール. Windows で使用する場合 Windows プリンタドライバのインストール方法は 接続方法や使用するプリンタドライバによって異なります また コンピュータの OS によってインストール方法が異なります お使いのコンピュータの OS に合わせて 以下の参照ページを プリンタドライバのインストール.1 プリンタドライバの種類と対応 OS 本プリンティングシステムを使用するためには プリンタドライバのインストールが必要です プリンタドライバとは 出力するデータの処理などを制御するプログラムで 使用する前に付属の CD からコンピュータにインストールします 付属の CD に含まれるプリンタドライバと 対応しているコンピュータの OS は以下のとおりです 必要なプリンタドライバをインストールしてください

More information

目次 第 1 章概要....1 第 2 章インストールの前に... 2 第 3 章 Windows OS でのインストール...2 第 4 章 Windows OS でのアプリケーション設定 TP-LINK USB プリンターコントローラーを起動 / 終了するには

目次 第 1 章概要....1 第 2 章インストールの前に... 2 第 3 章 Windows OS でのインストール...2 第 4 章 Windows OS でのアプリケーション設定 TP-LINK USB プリンターコントローラーを起動 / 終了するには プリントサーバー 設定 ガイド このガイドは以下のモデルに該当します TL-WR842ND TL-WR1042ND TL-WR1043ND TL-WR2543ND TL-WDR4300 目次 第 1 章概要....1 第 2 章インストールの前に... 2 第 3 章 Windows OS でのインストール...2 第 4 章 Windows OS でのアプリケーション設定...7 4.1 TP-LINK

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

McAfee SaaS Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護

McAfee SaaS  Protection 統合ガイド Microsoft Office 365 と Exchange Online の保護 統合ガイド改訂 G McAfee SaaS Email Protection Microsoft Office 365 と Exchange Online の保護 Microsoft Office 365 の設定 このガイドの説明に従って McAfee SaaS Email Protection を使用するように Microsoft Office 365 と Microsoft Exchange Online

More information

4 本体の入力を USB-B 端子に対応する入力に切り換える 下記の画面表示になります 手順 8 の画面になるまでしばらくお待ちください 5 解凍したフォルダー内にある "Setup.exe" をダブルクリックして実行する InstallShield ウィザードが表示されます xxxxxxxxxx.

4 本体の入力を USB-B 端子に対応する入力に切り換える 下記の画面表示になります 手順 8 の画面になるまでしばらくお待ちください 5 解凍したフォルダー内にある Setup.exe をダブルクリックして実行する InstallShield ウィザードが表示されます xxxxxxxxxx. ドライバーソフトウェアのインストール USB オーディオドライバーインストールマニュアル (Windows 用 ) 目次 ドライバーソフトウェアのインストール... 1 ページ ドライバーソフトウェアのアンインストール... 3 ページ 困ったとき (Windows XP の場合 )... 4 ページ 困ったとき (Windows Vista の場合 )... 6 ページ 困ったとき (Windows

More information

Microsoft Word PXシリーズプリンタドライバインストール説明書(Win8・10-32・64bit)

Microsoft Word PXシリーズプリンタドライバインストール説明書(Win8・10-32・64bit) プリンタードライバーインストール説明書 (Wndows10 32/64bit) 999-00-49-00-03 Windows10 32/64bit のドライバーについて プリンタードライバーのインストール手順について Card-Ⅲ プリンターを例に説明します 他のプリンターについてもプリンター名が異なるだけでインストール手順は同じです 64 ビットプリンタードライバーのインストールで進めます (32

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

常駐接続(ISL AlwaysOn)Wake On LAN 設定ガイド

常駐接続(ISL AlwaysOn)Wake On LAN 設定ガイド 常駐接続 (ISL AlwaysOn) Wake On LAN 設定ガイド 1 本マニュアルについて本マニュアルでは ISL AlwaysOn の Wake On LAN 機能をご利用いただくために必要な利用条件や設定についてご案内しております ISL AlwaysOn のインストール方法や Wake On LAN 機能の使用方法については 常駐接続 (ISL AlwaysOn) マニュアル および

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

『テクノス』V2プログラムインストール説明書

『テクノス』V2プログラムインストール説明書 土木積算システム テクノス V2 プログラム インストール説明書 ( 第 3 版 ) 目 次 1. テクノス V2 プログラム インストールの概要...3 2. テクノス V2 のプログラム ドライバ インストール...4 3. テクノス V2 の初期起動...10 4. アンインストール...11 5. 補足 ( 動作環境 )...11 2. 1. テクノス V2 プログラム インストールの概要

More information

HD View Single Windowsセットアップガイド

HD View Single Windowsセットアップガイド Smart-telecaster HD View Single Windows セットアップガイド Smart-telecaster HD View single Rev2.0 Smart-telecaster HD View Single とは Smart-telecaster HD View Single( 以下 HD View Single) は Windows パソコンにインストールして使用するアプリケーションです

More information

Hik-Connect アカウントにデバイスを追加する方法ユーザーは Hik-Connect APP ウェブポータル ivms4500 アプリまたは ivms クライアント経由で Hik-Connect 機能を有効にすることができます 注 : iv

Hik-Connect アカウントにデバイスを追加する方法ユーザーは Hik-Connect APP   ウェブポータル ivms4500 アプリまたは ivms クライアント経由で Hik-Connect 機能を有効にすることができます 注 : iv 概要 Hik-Connect は 動的ドメイン名サービスとアラームプッシュ通知サービスを統合した Hikvision によって導入された新しいサービスです これは デバイスがインターネットに接続するための簡単な方法を提供します このマニュアルは Hik-Connect サービスを追加する方法をユーザーに示すためのガイドです 注 :: ユーザーエクスペリエンスを向上させるために ルーターとデバイスの両方で

More information

7 シリーズ FPGA GTP トランシーバー ユーザー ガイド (UG482)

7 シリーズ FPGA GTP トランシーバー ユーザー ガイド (UG482) 7 シリーズ FPGA GTP トランシーバー ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください Notice of Disclaimer The information disclosed to

More information

概要 ABAP 開発者が SAP システム内の SAP ソースまたは SAP ディクショナリーオブジェクトを変更しようとすると 2 つのアクセスキーを入力するよう求められます 1 特定のユーザーを開発者として登録する開発者キー このキーは一度だけ入力します 2 SAP ソースまたは SAP ディクシ

概要 ABAP 開発者が SAP システム内の SAP ソースまたは SAP ディクショナリーオブジェクトを変更しようとすると 2 つのアクセスキーを入力するよう求められます 1 特定のユーザーを開発者として登録する開発者キー このキーは一度だけ入力します 2 SAP ソースまたは SAP ディクシ オンラインヘルプ :SAP ソフトウェア変更登録 (SSCR) キーの登録 目次 概要... 2 参考リンク... 3 アプリケーションの起動... 4 アプリケーションとメインコントロールの概要... 5 キーリストのカスタマイズ... 7 リストのフィルタリング... 7 表のレイアウトのカスタマイズ... 8 新しい開発者の登録... 10 新しいオブジェクトの登録... 12 特定のインストレーションから別のインストレーションに個々の

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

Cisco ViewMail for Microsoft Outlook クイックスタートガイド (リリース 8.5 以降)

Cisco ViewMail for Microsoft Outlook クイックスタートガイド (リリース 8.5 以降) クイックスタートガイド Cisco ViewMail for Microsoft Outlook クイックスタートガイド ( リリース 8. 以降 ) Cisco ViewMail for Microsoft Outlook( リリース 8. 以降 ) Cisco ViewMail for Microsoft Outlook の概要 Outlook 010 および Outlook 007 での ViewMail

More information

Merging と AES67 ディバイスの設定

Merging と AES67 ディバイスの設定 Merging と AES67 ディバイスの設定 Configure Merging and AES67 devices AES67 interoperability with Non-Merging interface AES が X-192 グループの調査結果を批准した結果 ネットワーク上でリアルタイムオーディオストリームを送信するデバイスの相互運用性に関する AES67 の提案を発表しました

More information

TF Series with Tio1608-D System Setup Guide

TF Series with Tio1608-D System Setup Guide システムセットアップガイド 第 1 版 : 2016 年 6 月 このガイドでは ヤマハデジタルミキシングコンソール TF シリーズ と I/O ラック Tio1608-D を使用したミキシングシステムのセットアップ手順や Tio1608-D の台数に応じたシステム例を紹介します TF シリーズは単体でも使用することができますが Tio1608-D を併用することで簡単にシステムを拡張することができ

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

SHOFU SureFile for DentalX Manual

SHOFU SureFile for DentalX Manual 日本語版 for 本ソフトの概要... 1 本ソフトの起動方法... 3 使用方法... 5 参考情報... 9 仕様... 12 For DentalX Ver.1.6 本ソフトの概要 本ソフトはデジタル口腔撮影装置 アイスペシャル C-Ⅱ および アイスペシャル C-Ⅲ 専用の画像振り分けソフトです 株式会社プラネット製 DentalX と連携し アイスペシャル C-Ⅱ C-Ⅲのテンキーを使って

More information

Nero ControlCenter マニュアル

Nero ControlCenter マニュアル Nero ControlCenter マニュアル Nero AG 著作権および商標情報 Nero ControlCenter マニュアルとその内容のすべては 著作権によって保護されており Nero AG が版権を所有しています 無断転載を禁止します このマニュアル内容は 国際著作権条約により保護されています Nero AG の書面による明確な許可なしに 本マニュアルの一部または全部の複製 配布 複写を禁止します

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

UMLプロファイル 機能ガイド

UMLプロファイル 機能ガイド UML Profile guide by SparxSystems Japan Enterprise Architect 日本語版 UML プロファイル機能ガイド (2016/10/07 最終更新 ) 1. はじめに UML では ステレオタイプを利用することで既存の要素に意味を追加し 拡張して利用することができます このステレオタイプは個々の要素に対して個別に指定することもできますが ステレオタイプの意味と適用する

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

ミーティング記録の管理

ミーティング記録の管理 サーバ上の記録したミーティングが自動的に [ミーティング記録 Meeting Recordings ] ページ に一覧表示されます 表示される記録は 自分がスケジュールしたミーティングに限定されます 特定のミーティング の代理主催者の場合 [記録 Recordings ] ページにはそれらの記録は表示されず ミーティン グや記録を開始したユーザである場合でも 記録の準備ができたときに電子メール通知が届きま

More information

SAC (Jap).indd

SAC (Jap).indd 取扱説明書 機器を使用する前に本マニュアルを十分にお読みください また 以後も参照できるよう保管してください バージョン 1.7 目次 目次 について...3 ご使用になる前に...3 インストール手順...4 ログイン...6 マネージメントソフトウェアプログラムの初期画面... 7 プロジェクタの検索...9 グループの設定... 11 グループ情報画面... 12 グループの削除... 13

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

Symantec AntiVirus の設定

Symantec AntiVirus の設定 CHAPTER 29 Symantec AntiVirus エージェントを MARS でレポートデバイスとしてイネーブルにするためには Symantec System Center コンソールをレポートデバイスとして指定する必要があります Symantec System Center コンソールはモニタ対象の AV エージェントからアラートを受信し このアラートを SNMP 通知として MARS に転送します

More information

ユーザーズガイド Brother Meter Read Tool JPN Version 0

ユーザーズガイド Brother Meter Read Tool JPN Version 0 ユーザーズガイド Brother Meter Read Tool JPN Version 0 著作権 Copyright 2017 Brother Industries, Ltd. All rights reserved. 本書の情報は予告なく変更されることがあります 本書に記載されているソフトウェアは 使用許諾契約書に基づいて提供されます 本ソフトウェアは 使用許諾契約書に従う場合に限り 使用または複製することができます

More information

モバイル統合アプリケーション 障害切り分け手順書

モバイル統合アプリケーション 障害切り分け手順書 モバイル統合アプリケーション障害切り分け手順書 第 5 版 2014 年 2 月 7 日 目次 1. サーバー接続 1.1. DocuShare に接続できない 1.2. WebDAV Server に接続できない 1.3. Working Folder に接続できない 1.4. WebDAV サーバースペースの DocuWorks ファイルが閲覧できない 2. 複合機接続 2.1. プリントができない

More information

Micro Focus Enterprise Developer チュートリアル メインフレーム COBOL 開発 : MQ メッセージ連携 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red H

Micro Focus Enterprise Developer チュートリアル メインフレーム COBOL 開発 : MQ メッセージ連携 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red H Micro Focus Enterprise Developer チュートリアル 1. 目的 本チュートリアルでは CICS から入力したメッセージを MQ へ連携する方法の習得を目的としています 2. 前提 使用した OS : Red Hat Enterprise Linux Server release 6.5 x64 使用した WebSphere MQ : IBM WebSphere MQ 7.5.0.1

More information

著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP

著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP 取扱説明書 rev: 181026 著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP を解除して使用する場合は ユーザーの全責任に於いて 著作権保護法を順守して使用してください

More information

動作環境 対応 LAN DISK ( 設定復元に対応 ) HDL-H シリーズ HDL-X シリーズ HDL-AA シリーズ HDL-XV シリーズ (HDL-XVLP シリーズを含む ) HDL-XV/2D シリーズ HDL-XR シリーズ HDL-XR/2D シリーズ HDL-XR2U シリーズ

動作環境 対応 LAN DISK ( 設定復元に対応 ) HDL-H シリーズ HDL-X シリーズ HDL-AA シリーズ HDL-XV シリーズ (HDL-XVLP シリーズを含む ) HDL-XV/2D シリーズ HDL-XR シリーズ HDL-XR/2D シリーズ HDL-XR2U シリーズ 複数台導入時の初期設定を省力化 設定復元ツール LAN DISK Restore LAN DISK Restore は 対応機器の各種設定情報を設定ファイルとして保存し 保存した設定ファイルから LAN DISK シリーズに対して設定の移行をおこなうことができます 複数の LAN DISK シリーズ導入時や大容量モデルへの移行の際の初期設定を簡単にします LAN DISK Restore インストール時に

More information

リモートアクセス Smart Device VPN ユーザマニュアル [ マネージドイントラネット Smart Device VPN 利用者さま向け ] 2015 年 10 月 20 日 Version 1.6 bit- drive Version 1.6 リモートアクセス S

リモートアクセス Smart Device VPN ユーザマニュアル [ マネージドイントラネット Smart Device VPN 利用者さま向け ] 2015 年 10 月 20 日 Version 1.6 bit- drive Version 1.6 リモートアクセス S リモートアクセス Smart Device VPN [ マネージドイントラネット Smart Device VPN 利用者さま向け ] 2015 年 10 月 20 日 Version 1.6 bit- drive 1/83 目次 1 はじめに 3 1-1 本マニュアルの目的... 3 1-2 注意事項... 3 1-3 ご利用のイメージ... 4 2 の設定フロー概略 5 3 スマートフォン (Android4.4)

More information

Intel Integrated Performance Premitives 4.1 Linux

Intel Integrated Performance Premitives 4.1 Linux インテル インテグレーテッド パフォーマンス プリミティブ 4.1 ( インテル IPP) Linux* 版 インストール ガイド 重要 製品をインストールするには 有効なライセンス ファイルが必要です 製品をインストールする前に 本書を必ずお読みいただき 有効なライセンス ファイルを入手してください 詳しくは 1 ページの インストールの前に 製品の登録が必要です をご参照ください エクセルソフト株式会社

More information

LogiCORE IP Aurora 64B/66B v9.2 製品ガイド (PG074)

LogiCORE IP Aurora 64B/66B v9.2 製品ガイド (PG074) LogiCORE IP Aurora 64B/66B v9.2 製品ガイド Vivado Design Suite 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください 目次 IP の概要 第 1 章 : 概要機能概要..........................................................................................

More information

常駐接続(ISL AlwaysOn)Wake On LAN設定ガイド

常駐接続(ISL AlwaysOn)Wake On LAN設定ガイド 常駐接続 (ISL AlwaysOn) Wake On LAN 設定ガイド 株式会社オーシャンブリッジ www.oceanbridge.jp 1 Wake On LAN 機能について Wake On LAN( 以下 WOL) とは ネットワークを通じて手元のコンピュータから離れた場所にある端末の電源を入れる機能です ISL AlwaysOn(Ver.2.1.0 以上 ) の WOL は Magic

More information

Microsoft Word - Updater

Microsoft Word - Updater ホスト ソフトウェアのアップデート Midas Digital System と関連するネットワーク機器のホスト ソフトウェアのアップデートについて説明します アップデータについて PRO2 にはシステムを簡単かつ明快にアップデートする方法を提供するアップデート機能があります この機能を利用して 最新版のホスト ソフトウェアをコントロールセンターとネットワーク接続した DLnnn または Klark

More information

この取扱説明書について USB DAC 端子に USB ケーブルでコンピューターを接続すると コンピューターからの音声信号を再生できます この機能を使って PCM を再生する場合 ドライバーソフトウェアをコンピューターにインストールする必要はありません ただし この機能を使って DSD 音源をネイテ

この取扱説明書について USB DAC 端子に USB ケーブルでコンピューターを接続すると コンピューターからの音声信号を再生できます この機能を使って PCM を再生する場合 ドライバーソフトウェアをコンピューターにインストールする必要はありません ただし この機能を使って DSD 音源をネイテ http://pioneer.jp/support/ 0120-944-222 044-572-8102 9:30 18:00 9:30 12:00 13:00 17:00 この取扱説明書について USB DAC 端子に USB ケーブルでコンピューターを接続すると コンピューターからの音声信号を再生できます この機能を使って PCM を再生する場合 ドライバーソフトウェアをコンピューターにインストールする必要はありません

More information

(Veritas\231 System Recovery 16 Monitor Readme)

(Veritas\231 System Recovery 16 Monitor Readme) Veritas System Recovery 16 Monitor Readme この README について Veritas System Recovery 16 Monitor でサポートされなくなった機能 Veritas System Recovery 16 Monitor について システムの必要条件 ホストコンピュータの前提条件 クライアントコンピュータの前提条件 Veritas System

More information

USB経由でXD-V75及びXD-V70シリーズをアップデートする方法

USB経由でXD-V75及びXD-V70シリーズをアップデートする方法 USB 経由で XD-V75 及び XD-V70 シリーズをアップデートする方法 XD-V75シリーズのレシーバーのファームウェアは 背面のミニUSB 端子からホスト コンピューターへ接続し Line 6 Monkeyソフトウェア アプリケーションを使用することでアップデート可能です また XD-V75レシーバーは XD-V75/V70シリーズのデジタル ワイヤレス機器をアップデートするための インターフェース

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

User Support Tool 操作ガイド

User Support Tool 操作ガイド User Support Tool - 操作ガイド - User Support Tool とは? User Support Tool は ファームウェアを更新するためのユーティリティソフトウェアです 本書では User Support Tool を使用して プリンタのファームウェアを更新する方法を解説しています ご使用前に必ず本書をお読みください 1 準備する 1-1 必要なシステム環境...P.

More information

起動画面

起動画面 RS-232C 通信データロギングツール Logger Lite 取扱説明書 E-mail: support@j-startechno.com http://www.j-startechno.com Rev.1.0.2.6 はじめにこのアプリケーションツール Logger Lite は RS-232C 通信により指示計から表示データをロギングするツールです ロギングしたデータは CSV 形式で保存しますので

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

ネットワークカメラ Edge Storage マニュアル ~SD / microsd で映像録画 再生 ~ ご注意このマニュアルは Firmware が Ver1.9.2 のカメラを対象としています Edge Storage 機能 (SD / microsd で映像録画 再生 ) をお使いになる 場

ネットワークカメラ Edge Storage マニュアル ~SD / microsd で映像録画 再生 ~ ご注意このマニュアルは Firmware が Ver1.9.2 のカメラを対象としています Edge Storage 機能 (SD / microsd で映像録画 再生 ) をお使いになる 場 ネットワークカメラ Edge Storage マニュアル ~SD / microsd で映像録画 再生 ~ ご注意このマニュアルは Firmware が Ver1.9.2 のカメラを対象としています Edge Storage 機能 (SD / microsd で映像録画 再生 ) をお使いになる 場合は まず Firmware を Ver1.9.2 以上にアップデートしてください 目次 1. Edge

More information

US-122MK2/144MK2_RN-J_RevF

US-122MK2/144MK2_RN-J_RevF 目次 最新情報... 1 新機能... 2 Windows ドライバー... 2 Mac OS X ドライバー... 2 メンテナンス項目... 3 ファームウェア... 3 Windows ドライバー... 3 Mac OS X ドライバー... 3 既知の不具合... 4 Windows ドライバー... 4 Mac OS X ドライバー... 4 ご注意... 4 デジタル入力を使用する場合...

More information

Cisco CSS HTTP キープアライブと ColdFusion サーバの連携

Cisco CSS HTTP キープアライブと ColdFusion サーバの連携 Cisco CSS 11000 HTTP キープアライブと ColdFusion サーバの連携 目次 概要 HTTP ヘッダーについて HTTP HEAD メソッドと HTTP GET メソッドの違いについて ColdFusion サーバの HTTP キープアライブへの応答方法 CSS 11000 で認識される HTTP キープアライブ応答もう 1 つのキープアライブ URI と ColdFusion

More information

H-R041-2_j

H-R041-2_j バックアップしたデータを見る 本機は 録画したデータを USB メモリーにバックアップすることができます バックアップした録画データは バックアップの形式によって PC や本機で再生できます バックアップの形式には DVR Media Player 形式 ( 実行ファイル形式 ) MP4 形式 独自形式の 3 種類があります (P. 5-15 バックアップ ) DVR Media Player 形式

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

Mindjet MindManager Version 9 for Windows サービスパック 2 リリースノート : 2011 年 4 月 20 日

Mindjet MindManager Version 9 for Windows サービスパック 2 リリースノート : 2011 年 4 月 20 日 Mindjet MindManager Version 9 for Windows サービスパック 2 : 2011 年 4 月 20 日 MindManager Version 9 for Windows で修正された問題 MindManager 9 ビルド 9.2.545 合計期間が 1 日未満の仕事間の依存関係が 強制的に別の日に開始された 依存する仕事の合計期間が一作業日未満である場合は それらの仕事を同じ日に開始できるようになりました

More information

Web GIS Template Uploader 利用ガイド

Web GIS Template Uploader 利用ガイド Web GIS Template Uploader 利用ガイド 概要 Web GIS Template Uploader について Web GIS Template Uploader は ESRI ジャパンが提供する ArcGIS ソリューションテンプレート ( ) をご使用の ArcGIS ポータル (ArcGIS Online もしくは Portal for ArcGIS の組織サイト ) にアップロードするためのツールです

More information

C1Live

C1Live C1Live 2014.01.30 更新 グレープシティ株式会社 Copyright GrapeCity, Inc. All rights reserved. C1Live 目次 i 目次 ComponentOne Studio Live 更新ユーティリティの概要 1 Studio Live について 2 Studio Live 製品グリッド... 3 Studio Live メニュー... 4 Studio

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windows 7 (32bit 版 64bit 版 ) Windows 8 (32bit 版 64bit 版

More information

プリンター設定編 1. はじめに本マニュアルは シャープ製の複合機に対するスキャン設定 FAX 転送設定を行うためのマニュアルです FAX 転送をご利用されるお客様もスキャン設定が必要です 固定のグローバル IP を利用しておらず コース SMB-S20 SMB-S100( 共用型 ) をご利用のお

プリンター設定編 1. はじめに本マニュアルは シャープ製の複合機に対するスキャン設定 FAX 転送設定を行うためのマニュアルです FAX 転送をご利用されるお客様もスキャン設定が必要です 固定のグローバル IP を利用しておらず コース SMB-S20 SMB-S100( 共用型 ) をご利用のお 操作ガイド Ver.2.0 目次 1. はじめに... - 2-2. スキャン設定... - 3-2.1. 固定のグローバル IP を利用していない場合... - 3-2.1.1. コース SMB-S シリーズ ( 共用型 ) をご利用のお客様... - 3-2.1.1.1. 管理画面側の設定...- 3-2.1.1.2. SHARP 複合機ページの設定...- 6-2.1.2. コース SMB-P

More information

<4D F736F F D D834F B835E5F8FDA8DD C E646F63>

<4D F736F F D D834F B835E5F8FDA8DD C E646F63> 情報電子実験 Ⅲ 2008.04 アナログフィルタ 1.MultiSIM の起動デスクトップのアイコンをクリックまたは [ スタート ]-[ すべてのプログラム ] より [National Instruments]-[Circuit Design Suite 10.0]-[Multisim] を選択して起動する 図 1 起動時の画面 2. パッシブフィルタ (RC 回路 ) の実験 2-1. 以下の式を用いて

More information

レスポンスデバイスの設定と投票テスト. RF または IR レシーバをパソコンに接続します. TurningPoint AnyWhere を起動します 3. Launch Pad が起動後しばらくすると レシーバ欄にレシーバのチャンネル数が表示されます ( 出荷時はチャンネル 4 に設定されています

レスポンスデバイスの設定と投票テスト. RF または IR レシーバをパソコンに接続します. TurningPoint AnyWhere を起動します 3. Launch Pad が起動後しばらくすると レシーバ欄にレシーバのチャンネル数が表示されます ( 出荷時はチャンネル 4 に設定されています NOTE: アプリケーションフォルダ内にある TurningPoint AnyWhere のアイコンを Dock 上にコピーすることで より簡単に起動することができます システム要件 TurningPoint008のライセンスが有効なRF/IRレシーバまたは有効なResponseWare のアカウント G3 以上のプロセッサー Mac OS X0.4 以上 56 MBのRAM (5MB 以上を推奨

More information

概要

概要 CHAPTER この章では PA-B-U 同期シリアルポートアダプタについて説明します 内容は 次のとおりです ポートアダプタの (p.-) LED(p.-) ケーブルおよびピン割り当て (p.-) サポート対象プラットフォームでのポートアダプタのスロット位置 (p.-) インターフェイスアドレスの識別 (p.-7) OL---J - ETHERNET-BFL 6 ポートアダプタの 第 章 ポートアダプタの

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

もくじ 2 はじめに... 3 概要... 4 動作環境... 4 利用制限モードについて... 4 本マニュアルの見かた... 4 HOME アプリマネージャの基本操作... 5 HOME アプリマネージャをインストールする... 6 HOME アプリマネージャを起動する... 8 HOME アプ

もくじ 2 はじめに... 3 概要... 4 動作環境... 4 利用制限モードについて... 4 本マニュアルの見かた... 4 HOME アプリマネージャの基本操作... 5 HOME アプリマネージャをインストールする... 6 HOME アプリマネージャを起動する... 8 HOME アプ HOME アプリマネージャ HOME アプリマネージャユーザーマニュアル 最終更新日 204 年 7 月 8 日 もくじ 2 はじめに... 3 概要... 4 動作環境... 4 利用制限モードについて... 4 本マニュアルの見かた... 4 HOME アプリマネージャの基本操作... 5 HOME アプリマネージャをインストールする... 6 HOME アプリマネージャを起動する... 8 HOME

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

Office 365 管理の 効率的なツールキット 文書番号 ZJTM 発行日 2018 年 12 月 28 日 0

Office 365 管理の 効率的なツールキット 文書番号 ZJTM 発行日 2018 年 12 月 28 日   0 Office 365 管理の 効率的なツールキット 文書番号 ZJTM181227101 発行日 2018 年 12 月 28 日 https://www.manageengine.jp/products/admanager_plus/ 0 目次 Office 365 を正しく管理するために... 1 ライセンス管理... 2 ユーザープロビジョニング... 4 グループレポート... 8 ユーザーレポート...

More information

LTE-Advanced キャリア・アグリゲーションの測定 アプリケーションノート

LTE-Advanced キャリア・アグリゲーションの測定 アプリケーションノート Application Note LTE-Advanced キャリア アグリゲーションの測定 シグナルアナライザとベクトル信号発生器を使ったデモ MX269020A-001 LTE-Advanced FDD ダウンリンク測定ソフトウェア MX370108A-001 LTE-Advanced FDD IQproducer MS2690A/MS2691A/MS2692A シグナルアナライザ MG3710A

More information

Veritas System Recovery 16 Management Solution Readme

Veritas System Recovery 16 Management Solution Readme Veritas System Recovery 16 Management Solution Readme この README について Veritas System Recovery 16 のソフトウェア配信ポリシーのシステム要件 Veritas System Recovery 16 Management Solution のシステム要件 Veritas System Recovery 16 Management

More information

LINE WORKS セットアップガイド目次 管理者画面へのログイン... 2 ドメイン所有権の確認... 3 操作手順... 3 組織の登録 / 編集 / 削除... 7 組織を個別に追加 ( マニュアル操作による登録 )... 7 組織を一括追加 (XLS ファイルによる一括登録 )... 9

LINE WORKS セットアップガイド目次 管理者画面へのログイン... 2 ドメイン所有権の確認... 3 操作手順... 3 組織の登録 / 編集 / 削除... 7 組織を個別に追加 ( マニュアル操作による登録 )... 7 組織を一括追加 (XLS ファイルによる一括登録 )... 9 VER.4.0.0 ライトプラン 1 LINE WORKS セットアップガイド目次 管理者画面へのログイン... 2 ドメイン所有権の確認... 3 操作手順... 3 組織の登録 / 編集 / 削除... 7 組織を個別に追加 ( マニュアル操作による登録 )... 7 組織を一括追加 (XLS ファイルによる一括登録 )... 9 組織の編集... 11 組織の移動... 12 組織の並べ替え...

More information

Microsoft Word - Si Multi Digitalカード.docx

Microsoft Word - Si Multi Digitalカード.docx Si Multi Digital カード クイックスタートガイド Si Multi Digital カード 主な特徴 Si Multi Digital card は Soundcraft のデジタルミキサー Si Performer Si Expression 用のオプションカードで 背面の拡張スロットに装着して使用します FireWire(IEEE1394) 端子を備えた Mac PC または FireWire(IEEE1394

More information

MotionBoard Ver. 5.6 パッチ適用手順書

MotionBoard Ver. 5.6 パッチ適用手順書 MotionBoard Ver. 5.6 パッチ適用手順書 目次 目次 目次... 2 本パッチ適用手順書について... 3 1. パッチ適用手順... 4 1-1. MotionBoard サーバー インメモリ OLAP エンジン MotionBoard RC Service の適用手順... 5 1-2. MotionBoard Agent の適用手順... 7 1-3. +Mobile アプリケーション

More information

レプリケーションについて レプリケーション元に設定したメイン機の共有フォルダーと レプリケーション先に指定した予備機の共有フォルダーを同期し 同じ状態に保ちます (LAN 環境により遅延が発生します ) 遠隔地へのレプリケーションにより メイン機側での災害 事故によるデータ損失のリスク低減ができます

レプリケーションについて レプリケーション元に設定したメイン機の共有フォルダーと レプリケーション先に指定した予備機の共有フォルダーを同期し 同じ状態に保ちます (LAN 環境により遅延が発生します ) 遠隔地へのレプリケーションにより メイン機側での災害 事故によるデータ損失のリスク低減ができます レプリケーション ネットワーク接続ハードディスク HDL-H シリーズ ご注意 事前にレプリケーション元とするメイン機に本パッケージの追加をおこなってください パッケージの追加方法は 画面で見るマニュアル をご覧ください レプリケーション先とする予備機には本パッケージを追加する必要はません INDEX レプリケーションについて... レプリケーションを設定する... 4 結果を確認する... 5 一括登録をする...

More information

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー

SLCONFIG の操作 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストー SLCONFIG の操作 2011.03.02 JF1PYE Ⅰ. PC と slconfig の通信設定 Ⅱ. Slconfig の操作 Ⅲ. 端末ソフトによる Command 機能 Ⅳ. slconfig 実行形式プログラムの作成 Ⅴ. 端末ソフト Tera Term のダウンロード インストール 設定 Soliloc-10G Slconfig の開発 提供ならびに本書を作成するに当たり情報提供を頂いた

More information

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E04 (v2.5) 2006 年 12 月 14 日 XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認 エラッタ これらのエラッタは Spartan-3 XC3S400 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

1. 概要 この章では HDE Controller X LG Edition をお使いの方に向けて LGWAN 接続に特化した設定の説明をします HDE Controller X LG Edition 以外の製品をご利用のお客様はこの章で解説する機能をお使いになれませんのでご注意ください 452

1. 概要 この章では HDE Controller X LG Edition をお使いの方に向けて LGWAN 接続に特化した設定の説明をします HDE Controller X LG Edition 以外の製品をご利用のお客様はこの章で解説する機能をお使いになれませんのでご注意ください 452 HDE Controller X 1-36. LGWAN の設定 1. 概要 この章では HDE Controller X LG Edition をお使いの方に向けて LGWAN 接続に特化した設定の説明をします HDE Controller X LG Edition 以外の製品をご利用のお客様はこの章で解説する機能をお使いになれませんのでご注意ください 452 HDE Controller X ユーザーマニュアル

More information

DrugstarPrime アップデート手順書 DrugstarPrime アップデート手順書 DrugstarPrime のアップデート手順をご案内いたします 本書は DrugstarPrime2 DrugstarPrime に共通の手順書です 手順内の画面は Prime2 を使用しています [

DrugstarPrime アップデート手順書 DrugstarPrime アップデート手順書 DrugstarPrime のアップデート手順をご案内いたします 本書は DrugstarPrime2 DrugstarPrime に共通の手順書です 手順内の画面は Prime2 を使用しています [ DrugstarPrime のアップデート手順をご案内いたします 本書は DrugstarPrime2 DrugstarPrime に共通の手順書です 手順内の画面は Prime2 を使用しています [ 全 14 ページ ] 内容 1. はじめに... 2 1-1. アップデート前の準備... 2 2. Prime サーバー機の作業... 3 3. Prime クライアント機の作業... 8 4.

More information

目次 1. ユーザー登録 ( 初期セットアップ ) を行う Office365 の基本的な動作を確認する... 6 Office365 にログインする ( サインイン )... 6 Office365 からサインアウトする ( ログアウト )... 6 パスワードを変更する... 7

目次 1. ユーザー登録 ( 初期セットアップ ) を行う Office365 の基本的な動作を確認する... 6 Office365 にログインする ( サインイン )... 6 Office365 からサインアウトする ( ログアウト )... 6 パスワードを変更する... 7 実践女子学園 目次 1. ユーザー登録 ( 初期セットアップ ) を行う... 2 2. Office365 の基本的な動作を確認する... 6 Office365 にログインする ( サインイン )... 6 Office365 からサインアウトする ( ログアウト )... 6 パスワードを変更する... 7 3. Office インストール... 8 Office インストール手順... 8

More information

Section1_入力用テンプレートの作成

Section1_入力用テンプレートの作成 入力用テンプレートの作成 1 Excel には 効率よく かつ正確にデータを入力するための機能が用意されています このセクションでは ユーザー設定リストや入力規則 関数を利用した入力用テンプレートの作成やワークシート操作について学習します STEP 1 ユーザー設定リスト 支店名や商品名など 頻繁に利用するユーザー独自の連続データがある場合には ユーザー設定リスト に登録しておけば オートフィル機能で入力することができ便利です

More information

業務用コンピュータサーバーに関する

業務用コンピュータサーバーに関する ENERGY STAR データセンター用ストレージ初期データ収集方法の草案 2009 年 11 月 概要 ENERGY STAR データセンター用ストレージ基準の策定作業の一環として EPA は関係者に対して 本書に規定される方法を使用した データセンター用ストレージに対する一連の試験と性能モデル化の実施を要請する この第 1 回データセンター用ストレージ消費電力試験の目的は 稼働およびアイドル状態の両方における

More information