目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1

Size: px
Start display at page:

Download "目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1"

Transcription

1 ET2015 ミニセミナー Arduino で実演 今すぐ始める簡単派生開発 株式会社富士通コンピュータテクノロジーズ伊澤松太朗 1270karch01

2 目次 当社のご紹介 モデル駆動開発とは モデル駆動開発ツール BricRobo とは BricRobo で L チカ派生開発 BricRobo の展開 記載された商品名 製品名は各社の登録商標または商標です 1

3 当社のご紹介 2

4 会社概要 株式会社富士通コンピュータテクノロジーズ 設立 1983 年 事業所川崎本社 豊橋事業所 長野事業所 事業内容 サーバ ストレージ モバイル端末 車載 /FA 機器 画像認識 ハードウェア ネットワーク 検証ソリューション 従業員数 520 名 組込みソフトウェア開発 組込みソフトウェア開発 280 名 115 組込みハードウェア開発 組込みハードウェア開発 50 名 組込みプラットフォーム 組込みプラットフォーム 45 名 50 TP 開発 / 検証評価 テストフ ロク ラム開発 / 検証評価 115 名 その他 3

5 モデル駆動開発とは 4

6 従来はドキュメント駆動開発 生産物 要件定義書 コピペ 参照 基本設計書 コピペ 参照 詳細設計書 ソースコード 5

7 モデル駆動開発はモデルが原本 マスター モデル 変換 ソースコード 6

8 モデル駆動開発ツール BricRobo とは 7

9 モデル駆動開発ツール BricRobo BricRobo モデル <<RunnableEntity>> + Initialize() :void uart :Uart <<RPortEv>> send <<PPortEv>> packetout <<TopLevel>> SensorNode packetbuilder : SendPacketBuilder CompositionType <<RPortEv>> infraredsensor : count CeramicInfraredSensor <<PPortEv>> count <<RPortEv>> temperature <<PPortEv>> temperature temperaturesensor : TemperatureSensor UMLと似たモデル図からコード生成するツール <<PPortEv>> recv <<RPortEv>> in <<BricRoboKeyElement>> SenseTask :Task elapse = 1 inittimer = 0 parser : RecvPacketParser <<BricRoboKeyElem... LedTask :Task elapse = 100 inittimer = 0 <<PPortEv>> led <<RPortEv>> in <<BricRoboKeyElement>> SendTask :Task elapse = 1000 inittimer = 0 led :Led BricRobo コードジェネレータ <<BricRoboKeyElement>> SendTask :Task Run() infraredsensor :CeramicInfraredSensor Run() temperaturesensor :TemperatureSensor packetbuilder :SendPacketBuilder uart :Uart ソースコード Run() RunSend() FUJITSU Embedded System BricRobo BricRobo 検索 8

10 プラットフォームに依存しない 部品 部品 部品 タスク タスク タスク BricRobo RTE BricRobo RTE コントローラー BricRobo 通信層 タイマータスク OS CPU BricRobo の層を呼び出すタイマーがあれば OS レスのマイコン上でも実行可能 9

11 BricRobo で L チカ派生開発 10

12 Arduino を使った派生開発 LED2 個のシステム開発から 4 個のシステムへ派生 2 個の LED が交互に点滅 4 個の LED 点滅で 2 進数の 0~15 を表現 11

13 再利用可能な BricRobo 部品を定義する CompositionType Hig hlowwave - _laststate: uint8_t <<ConfigParam>> + laststate: uint8_t <<RunnableEntity>> + Run(): void HIGH または LOW を生成する部品 パルス生成部品 <<PPort>> out SenderReceiverInterface IF ::Hig hlowif + value: uint8_t HIGH または LOW を伝えるインターフェース Dig it alout - _pin: uint8_t <<ConfigParam>> + pin: uint8_t デジタル信号出力部品 <<RunnableEntity>> + Run(): void 指定したデジタルピンを出力モードにし 値を出力します <<RPort>> in SenderReceiverInterface IF ::Hig hlowif + value: uint8_t HIGH または LOW を伝えるインターフェース 入出力データ型 SenderReceiverInterface IF ::Hig hlowif + value: uint8_t HIGH または LOW を伝えるインターフェース パルス反転部品 <<RPort>> in Hig hlowinvert er <<RunnableEntity>> + Run(): void HIGHと LOWを反転します <<PPort>> out 12

14 部品を組み合わせて製品を作る WAVE : Hig hlowwave laststate = LOW Lcica2 /in LE D1: Dig it alout pin = 12 TIC K: Tas k elapse = 100 inittimer = 0 /in INV: Hig hlowinvert er /in LE D2: Dig it alout pin = 11 Task 部品は一定周期で各部品の関数を呼び出す 13

15 部品の動作順序を指定する 14

16 コード生成 BricRobo 一括コード生成 ボタンをクリック コード生成が始まり EA の出力ウィンドウに結果表示 コンパイルして Arduino にアップロード 15

17 部品の入れ替え 加算演算部品 AddHig hlow 4 ビットを表す LED が カウントアップしながら点滅します Lcica4bit CompositionType - _bit: int <<ConfigParam>> <<RPort>> in + init: int <<RunnableEntity>> + Run(): void 1bit を記憶して 入力した 1bit と加算演算を行う 結果を出力し キャリー発生の有無ビットも出力する <<PPort>> carry <<PPort>> out WAVE :Hig hlowwave laststate = LOW BIT0 /in :AddHig hlow init = 0 最下位ビット /carry LE D1 :Dig it alout /in pin = 12 SenderReceiverInterface IF ::Hig hlowif + value: uint8_t HIGH または LOW を伝えるインターフェース BIT1 /in :AddHig hlow init = 0 /carry LE D2 :Dig it alout /in pin = 11 LED2 個追加 TIC K :Tas k 不要なパルス反転部品は削除 elapse = 200 inittimer = ミリ秒周期で駆動する BIT2 /in :AddHig hlow init = 0 /carry LE D3 :Dig it alout /in pin = 10 BIT3 :AddHig hlow /in init = 0 LE D4 :Dig it alout /in pin = 9 最上位ビット /carry 16

18 部品の駆動順序を追加 修正 シーケンス図は分割する 機能毎のシーケンスにまとめる 4 ビットを表す LED が カウントアップしながら点滅します Lcica4bit CompositionType WAVE :Hig hlowwave laststate = LOW BIT0 /in :AddHighLow init = 0 最下位ビット /carry LED1 :DigitalOut /in pin = 12 BIT1 /in :AddHighLow init = 0 /carry LED2 :DigitalOut /in pin = 11 TICK :Task elapse = 200 inittimer = ミリ秒周期で駆動する BIT2 /in :AddHighLow init = 0 /carry LED3 :DigitalOut /in pin = 10 BIT3 :AddHighLow /in init = 0 最上位ビット /carry LED4 :DigitalOut /in pin = 9 17

19 BricRobo の展開 18

20 フィーチャー図連携 NXT_GYRO: GyroSens orbricnxt NXT_TAC HO_RIGHT: Mot ortachobricnxt Tacho NXT_TAC HO_LE F T: Mot ortachobricnxt Tacho /ingyro /intachor /intachol E TROBO C ON: C ont roller MotorL MotorR NXT_RIGHT_MOTOR: Mot orbricnxt02 /in NXT_LE F T_MOTOR: Mot orbricnxt02 /in CompositionType E V3_GYRO: GyroSens orbrice V3 NXT_GYRO: GyroSens orbricnxt E V3_TAC HO_RIGHT: Mot ortachobrice V3 Tacho NXT_TAC HO_RIGHT: Mot ortachobricnxt Tacho E V3_TAC HO_LE F T: Mot ortachobrice V3 Tacho NXT_TAC HO_LE F T: Mot ortachobricnxt Tacho E V3_GYRO: GyroSens orbrice V3 E V3_TAC HO_RIGHT: Mot ortachobrice V3 Tacho E V3_TAC HO_LE F T: Mot ortachobrice V3 Tacho /ingyro /intachor Value /intachol F ORWARD: C ons t Value /ingyro /intachor /intachol E TROBO C ON: C ont roller Value TUIRN: C ons t Value E TROBO C ON: C ont roller MotorL MotorR MotorL MotorR E V3_RIGHT_MOTOR: Mot orbrice V3 /in NXT_RIGHT_MOTOR: Mot orbricnxt02 /in /in E V3_LE F T_MOTOR: Mot orbrice V3 NXT_LE F T_MOTOR: Mot orbricnxt02 /in E V3_RIGHT_MOTOR: Mot orbrice V3 /in /in CompositionType E V3_LE F T_MOTOR: Mot orbrice V3 CompositionType 増大するバリエーション対応で苦労していませんか? フィーチャー図 バリエーション管理表 全体設計モデル ツールにより相互変換可能 A 製品モデル 個別製品向けモデル抽出ツール B 製品モデル 製品や機能の共通性 可変性を可視化し モデル抽出ツールで開発効率化 19

21 MATLAB 連携 連続系制御と離散系制御のソース結合に手間がかかっていませんか? MATLAB が得意な連続系制御の例 従来手法による離散系制御の例 MATLAB 制御モデル BricRobo 部品定義 ( クラス化 ) compos it e s t ruct ure PID CompositionType PID <<RunnableEntity>> + Initialize(): void + Output(): void <<RPort>> In + Update(): void + Terminate(): void SenderReceiverInterface MT_real_T + Value: real_t <<PPort>> Out FCT ブースにてデモ展示中 MATLAB/Simulink Coder の生成コードを BricRobo 部品定義にインポートする機能でさらに開発効率アップ! 20

22

ET2014 ミニセミナー フィーチャー図と BricRobo で 簡単プロダクトライン 2014/11/19~21 ( 株 ) 富士通コンピュータテクノロジーズ伊澤松太朗 1294karch01 Copyright 2014 FUJITSU COMPUTER TECHNOLOGIES LIMITE

ET2014 ミニセミナー フィーチャー図と BricRobo で 簡単プロダクトライン 2014/11/19~21 ( 株 ) 富士通コンピュータテクノロジーズ伊澤松太朗 1294karch01 Copyright 2014 FUJITSU COMPUTER TECHNOLOGIES LIMITE ET2014 ミニセミナー フィーチャー図と BricRobo で 簡単プロダクトライン 2014/11/19~21 ( 株 ) 富士通コンピュータテクノロジーズ伊澤松太朗 1294karch01 目次 1. 当社のご紹介 2. 派生開発でよくある課題 3. フィーチャー図のススメ 4. フィーチャー図と BricRobo による簡単プロダクトライン開発 1 当社のご紹介 2 会社概要 株式会社富士通コンピュータテクノロジーズ

More information

Fujitsu Standard Tool

Fujitsu Standard Tool 実践 MBSE ~SysML で設計意図を相伝できるか ~ 2014 年 8 月 28 日富士通コンピュータテクノロジーズ組込みシステム技術統括部アーキテクチャ部石田晴幸 1271karch02 自己紹介 氏名 : 石田晴幸 (https://www.facebook.com/hernianrunner) 経歴 入社以来 ずっとファームウェア ソフトウェア開発に従事 1989~1995 3D グラフィックアクセラレータ

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

TECSをサポートする構造設計ツール ZIPC Toy!

TECSをサポートする構造設計ツール  ZIPC Toy! ET2009 TOPPERS セッション C-9 TECS をサポートするコンポーネント設計ツール ZIPC Toy! と活用法 キャッツ株式会社ソフトウェア事業部今井良和 2009/11/9 CATS co., ltd., TOPPERS プロジェクト 1 目次 TECSについて コンポーネント記述言語 CDL ツールチェーン ZIPC Toy! : コンポーネント設計 ZIPC : コンポーネントの振る舞い設計

More information

Microsoft PowerPoint - 7.Arithmetic.ppt

Microsoft PowerPoint - 7.Arithmetic.ppt 第 7 章デジタル演算回路 1 デジタル信号処理音声, 音楽, 通信信号 信号 = 符号付き 2 進データ 負の数値の表現方法 2 2 進数 n ビット n-1 =Σb i 2 i 0 2 の補数 +=2 n n-1 n-1 2 n =1+Σb i 2 i +Σb i 2 i 0 0 n-1 =2 n ー =1+Σb i 2 i 0 3 2 進数の補数 2 の補数 各桁のビットを反転した後で最下位に

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

講習会 Arduino

講習会  Arduino 講習会 Arduino 6 回目 タイマー ( 時間の関数 ) 目的 時間に関する関数を覚え プログラムの制御に使う タイマー割込みを知る 制御をするときにセンサー等の外部入力以外にも時間で制御したい時があるかもしれない 例えば一定の間隔をあけてから制御したい場合や制御してからある時間以内ではこの制御 過ぎてからはこの制御をしたいなど 時間に関する関数を紹介する 時間による割り込みを入れることにより正確な計測を行うことができる

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2010 年度 設計手法普及調査アンケート 集計経過報告 2011 年 8 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 5.1 アンケート回答者の構成 : 製品分野 9 5.2 アンケート回答者の構成 : 部門 10 6. アンケート集計結果

More information

Using VectorCAST/C++ with Test Driven Development

Using VectorCAST/C++ with Test Driven Development ホワイトペーパー V2.0 2018-01 目次 1 はじめに...3 2 従来型のソフトウェア開発...3 3 テスト主導型開発...4 4...5 5 TDD を可能にするテストオートメーションツールの主要機能...5 5.1 テストケースとソースコード間のトレーサビリティー...5 5.2 テストケースと要件間のトレーサビリティー...6 6 テスト主導型開発の例...7 2 1 はじめに 本書では

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2011 年度 設計手法普及調査アンケート 集計経過報告 2012 年 2 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 6. アンケート集計結果 9 6.1 回答者の担当製品分野について 10 6.2 回答者の部門について 11

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

会社概要 株式会社富士通コンピュータテクノロジーズ 設立 1983 年 事業所川崎本社 豊橋事業所 長野事業所 事業内容 サーバ ストレージ モバイル端末 車載 /FA 機器 画像認識 ハードウェア ネットワーク 検証ソリューション 従業員数 536 名 組込みソフトウェア開発 組込みハードウェア開

会社概要 株式会社富士通コンピュータテクノロジーズ 設立 1983 年 事業所川崎本社 豊橋事業所 長野事業所 事業内容 サーバ ストレージ モバイル端末 車載 /FA 機器 画像認識 ハードウェア ネットワーク 検証ソリューション 従業員数 536 名 組込みソフトウェア開発 組込みハードウェア開 組込みシステム開発技術展 (ESEC) 2014 年 5 月 14 日 ~16 日 静的解析ツールの効果的活用方法 株式会社富士通コンピュータテクノロジーズ TMP 事業部検証ソリューション部土屋 (14 15 日 ) 馬渕 (16 日 ) 1275kc1 会社概要 株式会社富士通コンピュータテクノロジーズ 設立 1983 年 事業所川崎本社 豊橋事業所 長野事業所 事業内容 サーバ ストレージ モバイル端末

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

スライド 1

スライド 1 マイコンをはじめよう 割り込みを使おう 徳島大学大学院ソシオテクノサイエンス研究部 技術専門職員辻明典 連絡先 : 770-8506 徳島市南常三島町 2-1 TEL/FAX: 088-656-7485 E-mail::a-tsuji@is.tokushima-u.ac.jp 割り込みを使おう 第 8 回 2013/9/14(Sat) 10:00 11:30 2 本日の予定 1 割り込みについて 2

More information

BricRobo V1.5 インストールマニュアル

BricRobo V1.5 インストールマニュアル 株式会社富士通コンピュータテクノロジーズ 目次 1 はじめに... 1 1.1 本書の目的... 1 1.2 関連文書... 1 1.2.1 上位文書... 1 1.2.2 参考文書... 1 1.3 問い合わせ先... 1 2 インストールファイル... 2 3 準備... 3 3.1 動作環境... 3 3.2 Enterprise Architect の入手... 3 4 インストール...

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

AN424 Modbus/TCP クイックスタートガイド CIE-H14

AN424 Modbus/TCP クイックスタートガイド CIE-H14 Modbus/TCP クイックスタートガイド (CIE-H14) 第 1 版 2014 年 3 月 25 日 動作確認 本アプリケーションノートは 弊社取り扱いの以下の機器 ソフトウェアにて動作確認を行っています 動作確認を行った機器 ソフトウェア OS Windows7 ハードウェア CIE-H14 2 台 ソフトウェア ezmanager v3.3a 本製品の内容及び仕様は予告なしに変更されることがありますのでご了承ください

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

OS

OS Operatig Systems カーネルとデバイスドライバ 2019-03 1 OS の構成要素 シェル ワープロ ブラウザ さまざまなソフトウェア ] ^ _ Z ` a b c d e ` f Y Z [ \ プロセス管理通信制御ファイルシステム メモリ管理割込み制御タイマ管理 デバイスドライバ 管理プログラム 基本ライブラリ デバイスドライバ CPU メモリ ストレージ さまざまなハードウェア

More information

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ

回路 7 レジスタ ( 同期イネーブル及び非同期リセット付 ) 入力データを保持するのに用いる記憶素子 使用用途として, マイクロプロセッサ内部で演算や実行状態の保持に用いられる Fig4-2 のレジスタは, クロック信号の立ち上がり時かつ 信号が 1 のときに外部からの 1 ビットデータ R をレ 第 4 回 VHDL 演習 2 プロセス文とステートマシン プロセス文を用いるステートマシンの記述について学ぶ 回路 6 バイナリカウンタ (Fig.4-1) バイナリカウンタを設計し, クロック信号に同期して動作する同期式回路の動作を学ぶ ⅰ) リスト 4-1 のコードを理解してから, コンパイル, ダウンロードする ⅱ) 実験基板上のディップスイッチを用いて, 発生するクロック周波数を 1Hz

More information

Copyright 2014 NTT DATA Corporation 2 INDEX 1. 一括請求 Assist とは 1-1. でんさいに係るサービスの関係性 1-2. 一括請求 Assist の必要性 1-3. 一括請求 Assist の特長 2. 機能紹介 2-1. 一括請求 Assist

Copyright 2014 NTT DATA Corporation 2 INDEX 1. 一括請求 Assist とは 1-1. でんさいに係るサービスの関係性 1-2. 一括請求 Assist の必要性 1-3. 一括請求 Assist の特長 2. 機能紹介 2-1. 一括請求 Assist Copyright 2014 NTT DATA Corporation 一括請求 Assist のご案内 株式会社 NTT データ株式会社 NTT データフロンティア Copyright 2014 NTT DATA Corporation 2 INDEX 1. 一括請求 Assist とは 1-1. でんさいに係るサービスの関係性 1-2. 一括請求 Assist の必要性 1-3. 一括請求 Assist

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

まず,13 行目の HardwareTimer Timer(1); は,HardwareTimer というクラスを利用するという宣言である. この宣言によって Timer というインスタンスが生成される.Timer(1) の 1 は,OpenCM に 4 個用意されているタイマのうち,1 番のタイマ

まず,13 行目の HardwareTimer Timer(1); は,HardwareTimer というクラスを利用するという宣言である. この宣言によって Timer というインスタンスが生成される.Timer(1) の 1 は,OpenCM に 4 個用意されているタイマのうち,1 番のタイマ 8 タイマ割り込みを使ってみよう割り込み (Interrupt) とは, 言葉の意味の通り, ある作業中に割り込むことである. マイコンにおいてはとても重要な機能の一つである. 例えば, インスタントカップ麺にお湯を入れて 3 分間待ってから食べることを想像してみよう. お湯を入れてカップ麺ができるまでの 3 分間, 時計の針だけを見つめ続けて, 他には何にもせずに待ち続ける人はほとんどいないだろう.

More information

XNA Framework

XNA Framework XNA Framework 2.0 M@STER SESSION 01 XNA Framework の技術的背景 システム基盤は.NET Framework マネージ環境で実行 クロスプラットフォーム Windows, Xbox360, Zune DirectX, MDX から独立している 開発 実行環境の構造 XNA Game Studio (Visual Studio 2005) XNA Framework

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

PGRelief C/C++ 強化ポイント説明書

PGRelief C/C++ 強化ポイント説明書 PGRelief C/C++ 強化ポイント説明書 1. 最新バージョンの強化ポイント (2017autumn 2018) 1) CERT Cコーディングスタンダードの適合性チェックを追加 CERTオプションの購入が必要 2) 指摘メッセージを16 個追加 ( うち15 個はCERTオプション用 ) 3) Visual C++ 2015 の資産に対応 2. 過去バージョンの強化ポイント 2.1. 強化ポイント

More information

1 1 Arduino とは Arduino アルドゥイーノ は ワンボードマイコンの一種で オープンソースハードウェアであ り 組み立て済みの基板を購入することもできるほか 誰でも自分の手で Arduino を組み立てる ことができます USBコネクタでPCと接続して利用します デジタルポートとア

1 1 Arduino とは Arduino アルドゥイーノ は ワンボードマイコンの一種で オープンソースハードウェアであ り 組み立て済みの基板を購入することもできるほか 誰でも自分の手で Arduino を組み立てる ことができます USBコネクタでPCと接続して利用します デジタルポートとア 1 1 Arduino とは Arduino アルドゥイーノ は ワンボードマイコンの一種で オープンソースハードウェアであ り 組み立て済みの基板を購入することもできるほか 誰でも自分の手で Arduino を組み立てる ことができます USBコネクタでPCと接続して利用します デジタルポートとアナログポートを利用し 様々 なセンサーを接続したり デジタルポートの出力により モーターや各種機器をコントロールする

More information

CONTENTS マニュアルの表記... S01-02_01 1.DataNature Smart 全体概要図... S01-02_11 2. 基本操作... S01-02_ ジョブの作成... S01-02_21 加工条件設定... S01-02_21 Step1: 処理対象データの指

CONTENTS マニュアルの表記... S01-02_01 1.DataNature Smart 全体概要図... S01-02_11 2. 基本操作... S01-02_ ジョブの作成... S01-02_21 加工条件設定... S01-02_21 Step1: 処理対象データの指 シリーズ 管理ツール操作マニュアル S01-02 このソフトウェアの著作権は 株式会社エヌジェーケーにあります このソフトウェアおよびマニュアルの一部または全部を無断で使用 複製することは法律で禁止されております このソフトウェアおよびマニュアルは 本製品の使用許諾契約書のもとでのみ使用することができます このソフトウェアおよびマニュアルを運用した結果の影響については 一切責任を負いかねますのでご了承ください

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

Android Layout SDK プログラミング マニュアル

Android Layout SDK プログラミング マニュアル プログラミングマニュアル Version 1.3.0 用 更新履歴 年月日 バージョン 履歴 2014.09.08 1.2.0.0 新規 (Layout Utilities ユーザーズ ガイド ) 2016.08.16 1.3.0.0 モバイル端末用レイアウトで直線部品と矩形部品に対応 モバイル端末用レイアウトファイルを CLFX から XML へ変更 Layout Print Engine から

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Fujitsu Standard Tool

Fujitsu Standard Tool 物品管理 for Windows V3 オプション製品府省共通物品管理システムデータ移行ツールご紹介資料 株式会社富士通システムズ ウエスト 目次 1. 府省共通物品管理システムデータ移行ツールとは 2. 府省共通物品管理システムデータ移行ツールの流れ 3.1 データ抽出 4.2 品目情報関連付け 5.3 部署情報の修正 確認 6.4 物品情報の更新 7.5 物品情報 ( 備品 重要物品 ) の修正

More information

Fujitsu Standard Tool

Fujitsu Standard Tool ツール紹介資料 第 1.1 版 株式会社富士通アドバンストエンジニアリング Copyright 2010-2011 FUJITSU LIMITED PATCHART ツール紹介 1リアルタイム異常報告 2ガントチャート表示モード変更 3 擬似信号灯 4デモデータ作成 5メール送信 6 実績情報入力 7 簡易アンドン お試し版 CD の tools というフォルダ内に存在します ご活用ください ツール類は

More information

TOPPERS活用アイデア・アプリケーション開発

TOPPERS活用アイデア・アプリケーション開発 TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : アプリケーション開発部門 作品のタイトル : デジタルインスタントカメラ 作成者 : 清由美 ( 富士通コンピュータテクノロジーズ ) 共同作業者 : FCT) 組込みシステム研究サークル 対象者 : 組込みソフトウェアの初心者 中級者組込みソフトウェアの教育教材を探している指導者使用する開発成果物 : TOPPERS/ASP

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

Taro-テキスト.jtd

Taro-テキスト.jtd 付録 1 実習テキスト Arduinoスケッチプログラミング Arduinoスケッチプログラミング Arduinoスケッチプログラミング 1. 的 作成 : 米田文彦 Arduino アルドゥイーノ の基本構成 機能を理解し 簡単なスケッチ * を行える能力を身につける また パソコンの標準的なキーボードやマウスといった入出力デバイスではなく センサやモータのような物理的な情報を扱える入出力デバイスを用いた制御をすることで

More information

第 1 章 : はじめに RogueWave Visualization for C++ の Views5.7 に付属している Views Studio を使い 簡単な GUI アプリケーションの開発手順を紹介します この文書では Windows 8 x64 上で Visual Studio2010

第 1 章 : はじめに RogueWave Visualization for C++ の Views5.7 に付属している Views Studio を使い 簡単な GUI アプリケーションの開発手順を紹介します この文書では Windows 8 x64 上で Visual Studio2010 RW View Studio Getting Started (1) : 簡単な GUI アプリケーションを作成する 目次 第 1 章はじめに...1 1.1 アプリケーションの概要... 1 1.2 Views Studio とは... 2 第 2 章 Views Studio を起動する...3 2.1 起動画面 ( メインウィンドウ ) の説明... 4 2.2 ガジェットエクステンション...

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

論文番号 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行

論文番号 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行 論文番号 2012- 分 2-2 平成 24 年度全国情報技術教育研究会第 41 回全国大会 ( 新潟大会 ) Xbee を活用した無線通信の研究 期日平成 24 年 8 月 9 日 ( 木 )~10 日 ( 金 ) 場所長岡市シティホールプラザ アオーレ長岡 香川県立三豊工業高等学校 電子科 本行圭介 Xbee を活用した無線通信の研究 香川県立三豊工業高等学校電子科本行圭介 1 はじめに現在 様々な電子機器に無線通信機能が搭載されており

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

Application Note Application Note No. ESC-APN Document No.: ESC-APN adviceluna Linux デバッグ手順 (MIPS コア編 ) はじめに adviceluna Linux デバッグ手順 ( 以

Application Note Application Note No. ESC-APN Document No.: ESC-APN adviceluna Linux デバッグ手順 (MIPS コア編 ) はじめに adviceluna Linux デバッグ手順 ( 以 No. ESC-APN-026-02 Document No.: ESC-APN-026-02 adviceluna Linux デバッグ手順 (MIPS コア編 ) はじめに adviceluna Linux デバッグ手順 ( 以下 本書 ) は adviceluna にて下記 Linux 環境をデバッグする手順を説明した文書です Application Shared Library Loadable

More information

winras.pdf

winras.pdf RAS 支援サービスユーザーズガイド (Windows 版 ) はじめに RAS(Reliability, Availability, Serviceability) 支援サービスは PRIMERGY シリーズの定期交換部品の状況を監視し 定期交換部品の交換時期になったときに通知するソフトウェアです 定期交換部品の寿命による PRIMERGY シリーズの故障を回避するために必要ですので RAS 支援サービスは

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx シーケンスに基づく検索モデルの検索精度について 東京工芸大学工学部コンピュータ応用学科宇田川佳久 (1/3) (2/3) 要員数 情報システム開発のイメージソースコード検索機能 他人が作ったプログラムを保守する必要がある 実務面での応用 1 バグあるいは脆弱なコードを探す ( 品質の高いシステムを開発する ) 2 プログラム理解を支援する ( 第 3 者が書いたコードを保守する ) 要件定義外部設計内部設計

More information

BIP Smart サンプル説明書

BIP Smart サンプル説明書 FUJITSU Software Interstage List Creator 向け BIP Smart サンプル説明書 はじめに 本書は BIP Smart のサンプル説明書です [ 対象製品 ] BIP Smart 帳票連携 Edition [ 免責事項 ] 本プログラムはサンプルプログラムであるため 株式会社 PFU( 以降 PFU と表記 ) は 本プログラムに関して一切の動作保証をするものではありません

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

CodeGear Developer Camp

CodeGear Developer Camp T2 Delphi チュートリアルセッション Delphiはじめて奮戦記 で学ぶ Delphiチュートリアル 株式会社フルネスコーチング事業部マネージャー田原孝 1 アジェンダ 株式会社フルネスについて Delphiプログラミングの基本 演習 : 計算機のテンキーを作る 演習 : 計算機の四則演算ボタンを作る 練習問題 まとめ 2 株式会社フルネスについて 事業内容 ハンズオン教育サービス コーチングサービス

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

GR-SAKURA-SAのサンプルソフト説明

GR-SAKURA-SAのサンプルソフト説明 フルカラーシリアル LED テープ (1m) を GR-KURUMI で使ってみる 2014/2/25 がじぇっとるねさす鈴木 Rev. 1.00 フルカラーシリアル LED の特徴 http://www.switch-science.com/catalog/1399/ 3570 円 1m で 60 個の LED がついている 電源と信号線 1 本で制御する x 24 この信号を 24 個送信して

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社 Windows 7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は IC カードリーダライタ RW-4040 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています このドライバソフトは Windows 7 SP1 で動作します 本書では ドライバソフトバージョン 2.27 のインストールについて説明します

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

PowerPoint Presentation

PowerPoint Presentation OPROARTS Connector for Salesforce ユーザーガイド はじめに 本ドキュメントはサンプルテンプレートを使用し 帳票作成からボタン作成までの流れを解説します セットアップは OPROARTS Connector for Salesforce セットアップガイドを参照ください 帳票デザインについてはデザイナーガイドを参照ください 1997-2019 OPRO Co., Ltd.

More information

PowerPoint Presentation

PowerPoint Presentation マイコンシステム 第 12 回 青森大学ソフトウェア情報学部 橋本恭能 haship@aomori-u.ac.jp 目次 講義 内部設計 3 Deviceタブ Actionタブの関数実装 例題 定義した機能を実現する方法を検討する 課題 動作確認 2 講義 内部設計 3 残りの関数を実装 3 組込みシステム開発 週テーマ内容 7 キッチンタイマーの組立キッチンタイマーのハードを製作 確認 8 9 10

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

Source Insight

Source Insight ソースインサイト プログラムエディタ Source Insight のご紹介 ソースを理解しながら 効率の良いコーディング エクセルソフト株式会社営業部 エクセルソフト株式会社 Copyright 2008 XLsoft K.K. All Rights Reserved. - 1 - 目次 プログラムエディタ Source Insight のご紹介 ソースを理解しながら 効率の良いコーディング 目次

More information

目次 第 1 章はじめに 本ソフトの概要... 2 第 2 章インストール編 ソフトの動作環境を確認しましょう ソフトをコンピュータにセットアップしましょう 動作を確認しましょう コンピュータからアンインストー

目次 第 1 章はじめに 本ソフトの概要... 2 第 2 章インストール編 ソフトの動作環境を確認しましょう ソフトをコンピュータにセットアップしましょう 動作を確認しましょう コンピュータからアンインストー JS 管理ファイル作成支援ソフト 設計用 Ver.4.0 インストールマニュアル 操作マニュアル 日本下水道事業団 目次 第 1 章はじめに... 1 1-1 本ソフトの概要... 2 第 2 章インストール編... 3 2-1 ソフトの動作環境を確認しましょう... 4 2-2 ソフトをコンピュータにセットアップしましょう... 5 2-3 動作を確認しましょう... 9 2-4 コンピュータからアンインストールする方法...

More information

サイト名

サイト名 2014 年 9 月 18 日 株式会社デジタル ナレッジ KnowledgeDeliver 5.11 リリースノート 日頃は弊社 KnowledgeDeliver / KnowledgeClassroom をご愛顧いただき 誠にありがとうございます 本ドキュメントでは KnowledgeDeliver の最新バージョン 5.11 と KnowledgeClassroom 1.11 の更新について説明します

More information

OPN Terminalの利用方法

OPN Terminalの利用方法 OPN Term, OPN IME の利用方法 株式会社オプトエレクトロニクス 目次 1. 概要...3 1.1 OPN Termについて...3 1.2 OPN IMEについて...3 2. OPN Term 接続手順...3 2.1 OPN-2002 をスレーブに設定して接続する...3 2.3 OPN-2002 をマスターに設定して接続する...5 3. OPN Termを操作する...6 3.1

More information

型名 RF007 ラジオコミュニケーションテスタ Radio Communication Tester ソフトウェア開発キット マニュアル アールエフネットワーク株式会社 RFnetworks Corporation RF007SDK-M001 RF007SDK-M001 参考資料 1

型名 RF007 ラジオコミュニケーションテスタ Radio Communication Tester ソフトウェア開発キット マニュアル アールエフネットワーク株式会社 RFnetworks Corporation RF007SDK-M001 RF007SDK-M001 参考資料 1 型名 RF007 ラジオコミュニケーションテスタ Radio Communication Tester ソフトウェア開発キット マニュアル アールエフネットワーク株式会社 RFnetworks Corporation RF007SDK-M001 RF007SDK-M001 参考資料 1 第 1 章製品概要本開発キットは RF007 ラジオコミュニケーションテスタ ( 本器 ) を使用したソフトウェアを開発するためのライブラリソフトウェアです

More information

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換)

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換) シングルタスク プログラミング 本田晋也名古屋大学大学院情報科学研究科准教授 TOPPERSプロジェクトシニアテクニカルエキスパート honda@ertl.jp 最終更新 2016/6/27 1 自己紹介 所属 名古屋大学情報科学研究科組込みリアルタイムシステム研究室 TOPPERSプロジェクト TOPPERS/R2CA 設計 開発 メンテ 最近注力している内容 TOPPERS/ASP GR-PEACH

More information

Taro-82ADAカ.jtd

Taro-82ADAカ.jtd デジタル & アナログ絶縁入出力ユニット解説書製品型式 8 2 A D A - K C 製品型式 8 2 A D A - B D 製品型式 D A C S - 8 2 0 0 この解説書は 8 2 A D A または D A C S - 8 2 0 0 の動作と使用方法について簡単に説明したものです D A C S - 8 2 0 0 の場合は この解説書の 8 2 A D A という表現を 一部

More information

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを

2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない メトリクスを使ってリファクタリング対象を自動抽出する仕組みを メトリクス利用によるリファクタリング対象の自動抽出 ローランドディー. ジー. 株式会社 第 4 開発部 SC02 小林光一 e-mail:kouichi.kobayashi@rolanddg.co.jp 2 概要 市場で不具合が発生にした時 修正箇所は正常に動作するようにしたけど将来のことを考えるとメンテナンス性を向上させたいと考えた リファクタリングを実施して改善しようと考えた レガシーコードなのでどこから手をつけて良いものかわからない

More information

多機種のAndroid(TM)端末でテストシナリオを流用可能に - Androidアプリケーション自動テストツール QCWing for Android Ver.2.7 -

多機種のAndroid(TM)端末でテストシナリオを流用可能に - Androidアプリケーション自動テストツール QCWing for Android Ver.2.7 - < 報道関係各位 > プレスリリース 2014 年 5 月 13 日 日本ノーベル株式会社 多機種の Android 端末でテストシナリオを流用可能に - Android アプリケーション自動テストツール QCWing for Android Ver.2.7 - 日本ノーベル株式会社 ( 本社 : 東京都北区 代表取締役社長 : 鈴木祥夫 以下日本ノーベル ) は 指定座標の テキスト取得など 多機種のテスト向け機能を強化した

More information

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は Windows 7 環境において IC カードリーダライタ RW-5100 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています 本書で説明するドライバソフトは Windows 7 SP1 で動作するものです

More information

JustSystems

JustSystems ファイルサーバー肥大化対策ソリューション GDMS 2.0 動作検証報告書 2011 年 10 月 17 日実施 目次 製品概要 検証概要 検証環境 A / 検証環境 B / 検証環境 C 検証結果 検証環境 A / 検証環境 B / 検証環境 C 検証まとめ 1 製品概要 2010 JustSystems Corporation GDMS とは GDMS は Green Document Management

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a MATLAB コードを使用した C コードの生成クイックスタートガイド (R2016a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには [ ビルド ] を [ ソースコード ] [ スタティックライブラリ ] [ ダイナミックライブラリ ] または [ 実行ファイル ] のいずれかに切り替えます MATLAB Coder を使用することで MATLAB コードから

More information

Macintosh

Macintosh Macintosh アプリケーションをお使いになる前に 下記の点にご留意ください プリンター本体のセットアップや プリンターのメンテナンスを行う手順は Windows PC と同様です 取扱説 明書を参照してください Macintosh で GTX-4 Maintenance を起動する場合は [Finder]>[ アプリケーション ] から GTX-4 Maintenance を選択してください

More information

ネットワーク構成情報ファイル 作成ツール

ネットワーク構成情報ファイル 作成ツール ServerView Resource Orchestrator V3.1 ネットワーク構成情報ファイル作成ツール mknetdevconf-tool-0301-1 2012 年 7 月 まえがき 本書の目的 本書は ServerView Resource Orchestrator Cloud Edition( 以降 本製品 ) のネットワーク構成情報ファイル作成ツール (Excel 形式 )( 以降

More information

NI P1200 Release Notes Cover

NI P1200 Release Notes Cover PEX-H2994W Board Support Package Installation on RedHawk Release Notes July 4, 2019 1. はじめに 本書は Concurrent Real Time IncCCRT) の RedHawk 上で動作する インターフェース社製 PEX- H2994W PCI Express ボードサポートパッケージ用リリースノートです

More information

FUJITSU Cloud Service K5 認証サービス サービス仕様書

FUJITSU Cloud Service K5 認証サービス サービス仕様書 FUJITSU Cloud Service K5 認証サービスサービス仕様書 2016 年 10 月 28 日 1. サービス仕様 当社は 以下のサービスを提供します (1) 基本サービス契約者が K5 PaaS ポータルから認証サービスの利用を開始すると 管理テナント ( 注 1) が 1 つ作成されます 契約者は 管理テナントにより運用テナント ( 注 2) の管理を行うことができます 1 基本機能

More information

ユーザー一覧をファイル出力する ユーザーを検索する 登録したユーザー数を確認する

ユーザー一覧をファイル出力する ユーザーを検索する 登録したユーザー数を確認する 目次 はじめに サービス内容............................................................ 8 基本サービス.......................................................... 8 お客様のご利用環境について............................................

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Systemwalker Live Help V13.6 機能紹介資料

Systemwalker Live Help V13.6 機能紹介資料 Systemwalker Live Help V13.6.1 機能ご紹介 2013 年 3 月富士通株式会社 背景 ユーザーパソコンや 部門サーバの急激な増大 インターネット利用の急激な拡大 増大するエンドユーザからの SOS 部門サーバの運用管理負荷が増大 サポート対象が取引先に拡大 問題発生の都度 要員を現場に派遣するのは非現実的 電話や Fax だけでは問題解決が長期化 ~ サポート範囲が拡大

More information

ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞

ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞 ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞 目次 知能ロボットシステム概要 コンポーネント指向ミドルウェア HRTMの開発 ASIMOへの適用 HRTMとOpenRTM-aistの連携動作 標準化活動 知能ロボットシステム概要 センサーやアクチュエーターは追加や変更される システム構成は変更したくない センサー, アクチュエーターの関係を抽象化した

More information

Java知識テスト問題

Java知識テスト問題 Java 知識テスト SDAS プログラマ(Java 編 ) 運営事務局 このテストは J2EE プログラマとしての Java の知識を評価するものです 問題は 30 問, テスト時間は J2EE 知識テストとあわせて 90 分です 問題は全て択一式です 選択肢から 1 つだけ選択してください 資料の閲覧は禁止です テストが終わり次第 答案用紙を提出していただいてかまいません テスト終了後, 本テストの内容を他の方に話さないでください

More information

FormPat インポート設定ガイド

FormPat インポート設定ガイド FormPat 7 インポート設定ガイド 2019/07/12 Copyright(C) 2019 Digital Assist Corporation. All rights reserved. 1 / 11 目次 目次... 2 はじめに... 3 データベースおよびテーブルの作成... 4 インポート定義ファイルの作成... 5 インポート定義ファイルの見出し行... 5 インポート定義ファイルの明細行...

More information

ご利用のコンピュータを設定する方法 このラボの作業を行うには 事前設定された dcloud ラボを使用するか 自身のコンピュータをセットアップします 詳細については イベントの事前準備 [ 英語 ] とラボの設定 [ 英語 ] の両方のモジュールを参照してください Python を使用した Spar

ご利用のコンピュータを設定する方法 このラボの作業を行うには 事前設定された dcloud ラボを使用するか 自身のコンピュータをセットアップします 詳細については イベントの事前準備 [ 英語 ] とラボの設定 [ 英語 ] の両方のモジュールを参照してください Python を使用した Spar ご利用のコンピュータを設定する方法 このラボの作業を行うには 事前設定された dcloud ラボを使用するか 自身のコンピュータをセットアップします 詳細については イベントの事前準備 [ 英語 ] とラボの設定 [ 英語 ] の両方のモジュールを参照してください Python を使用した Spark API との通信 このラーニングモジュールでは Python を使用した Spark API とのインターフェイスを扱います

More information

PCL6115-EV 取扱説明書

PCL6115-EV 取扱説明書 PCL6115 スターターキット 取扱説明書モーションパターンビルダーサンプルプロジェクト 目次 1. はじめに... 1 1-1. 動作環境... 2 1-2. 動作モード... 2 1-3. 使用したプログラミング言語... 2 1-4. 注意... 2 2. サンプルプロジェクトの構成... 3 2-1. フォルダ構成... 3 2-2. ファイル構成... 3 3. デバイスドライバのインストール...

More information

PowerPoint Presentation

PowerPoint Presentation ETAS の AUTO ソリューションイータス株式会社 1 Public STJ2/ETAS 2014-01 ETAS GmbH 2014. All rights reserved, also regarding any disposal, exploitation, reproduction, editing, イータス株式会社会社概要 ETAS Driving Embedded Excellence

More information

AUTOSAR OS仕様とTOPPERS/ATK2の使い方

AUTOSAR OS仕様とTOPPERS/ATK2の使い方 モデルカーを用いたAUTOSAR 開発入門 AUTOSAR SW-C 対応プログラム開発 : 1ECU 版設計 名古屋大学大学院情報科学研究科附属組込みシステム研究センター人材育成プログラム (NEP) PLE 最終更新日 : 2016/01/07 1 AUTOSAR SW-C 対応 プログラム開発 : 1ECU 版設計 概要 (1) システム /SW-C 設計 (2)SW-C 実装 (3)ECUコンフィギュレーション

More information

UIOUSBCOM.DLLコマンドリファレンス

UIOUSBCOM.DLLコマンドリファレンス UIOUSBCOM.DLL UIOUSBCOM.DLL Command Reference Rev A.1.0 2008/11/24 オールブルーシステム (All Blue System) ウェブページ : www.allbluesystem.com コンタクト :contact@allbluesystem.com 1 このマニュアルについて...3 1.1 著作権および登録商標...3 1.2

More information

— OpenRulesモジュール 2017 Summer リリースノート   第2版  

— OpenRulesモジュール 2017 Summer リリースノート   第2版   Copyright 2017 NTT DATA INTRAMART CORPORATION 1 Top 目次 1. 改訂情報 2. はじめに 3. OpenRules モジュール 2015 Winter からの変更点 4. 機能 5. システム要件 6. 検証済み環境 7. サードパーティライセンス一覧 8. 制限事項 9. 著作権および特記事項 2 改訂情報 変更年月 日 01 2017-08-

More information

目次 第 1 章はじめに 本ソフトの概要... 2 第 2 章インストール編 ソフトの動作環境を確認しましょう ソフトをコンピュータにセットアップしましょう 動作を確認しましょう コンピュータからアンインストー

目次 第 1 章はじめに 本ソフトの概要... 2 第 2 章インストール編 ソフトの動作環境を確認しましょう ソフトをコンピュータにセットアップしましょう 動作を確認しましょう コンピュータからアンインストー JS 管理ファイル作成支援ソフト 工事用 Ver.4.0 インストールマニュアル 操作マニュアル 日本下水道事業団 目次 第 1 章はじめに... 1 1-1 本ソフトの概要... 2 第 2 章インストール編... 3 2-1 ソフトの動作環境を確認しましょう... 4 2-2 ソフトをコンピュータにセットアップしましょう... 5 2-3 動作を確認しましょう... 8 2-4 コンピュータからアンインストールする方法...

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 11 週 条件分岐文と繰り返し文のコード生成 2014 年 6 月 18 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 一般社団法人インダストリアル バリューチェーン イニシアティブ IVI モデラーベーシック版 操作マニュアル 1.0 2018.7.10 ライセンスについて IVI モデラーベーシック版 ( 以下 本ソフトウェアと記す ) は IVI 会員 ( 会員企業の構成員を含む ) は 以下の条件のもとで 営利目的を含む利用が無償でできます 本ソフトウェアは 無保証です 本ソフトウェアを利用したことによる損害

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ネットワークプログラミング 演習 第 12 回 Web サーバ上で動作するプログラム 2 今日のお題 PHPのプログラム例 おみくじ アクセスカウンタ ファイルの扱い lock ファイルの所有者 許可と権限 PHP の文法 ( の一部 ) if, for, while の制御の構文は C 言語と似ている 型はあるが 明示的な宣言はしなくてよい 変数には型がない 変数の宣言はしなくてよい 変数名には

More information

COBOL Standard Edition COBOL SQL アクセスのご紹介 2017 年 3 本電気株式会社 次 COBOL SQLアクセスとは P.4 COBOL85 SQLEXTENSIONからの移 P.10 製品情報 P.13 COBOL SQL アクセスとは 製品概要 COBOL ソース中の埋め込み SQL によるデータベースアクセスが可能に 業界標準 ODBC(Open DataBase

More information