Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx"

Transcription

1 MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev Renesas Electronics Corporation. All rights reserved. IAAS-AA

2 目次 1. はじめに 1.1 モデルベース開発とは? 1.2 目的とメリット 1.3 モデルベース開発環境を使用した場合のメリット 2. 当社モデルベース開発環境ご紹介 2.1 当社モデルベース開発環境概要 2.2 モデル設計 3. シミュレーション 3.1 シミュレーション概要 3.2 GUI 上でできること ( 各種パラメータの設定 ~シミュレーション実行 ) 4. 実機検証 4.1 C 言語自動生成 4.2 実機検証 4.3 各種設定から実機評価までの流れ ( まとめ ) 5. まとめ 2014 Renesas Electronics Corporation. All rights reserved. 2

3 1. はじめに 2014 Renesas Electronics Corporation. All rights reserved. 3

4 1. はじめに ~MathWorks 社製品を採用した理由 ~ MathWorks 社では多種多様の製品を取り扱っており MathWorks 社製品のみでモータ制御アプリケーション向けモデルベース開発環境を構築することが可能 数値計算言語 MATLAB モデルベースデザイン Simulink ハードウェア系 SimElectronics SimPowerSystems Simscape ソフトウェア系 Stateflow コード生成 Embedded Coder MATLAB Coder Simulink Coder 解析 最適化 Control System Toolbox Optimization Toolbox Simulink Design Optimization モータ制御アプリケーションのモデル化 シミュレーション / 解析 2014 Renesas Electronics Corporation. All rights reserved. 4 GUI 開発 /C 言語自動生成

5 1.1 モデルベース開発とは? シミュレーション可能なモデルを用いるソフトウェア及びハードウェア開発手法 制御器 ( コントローラ )/ 制御対象 ( プラント ) またはその一部をモデルで表現し 机上シミュレーションにより制御アルゴリズムやハードウェアの開発検証を行う開発手法 システムのモデル化 MATLAB シミュレーション 実機評価 2014 Renesas Electronics Corporation. All rights reserved. 5

6 1.2 目的とメリット 目的 開発プロセスの改善 メリット 設計品質の向上 後戻り工程の低減 ヒューマンエラーの低減 生産性の向上 プラントモデルによる全体検証 低コスト化 開発工数の短縮 2014 Renesas Electronics Corporation. All rights reserved. 6

7 1.2 目的とメリット従来の組込みシステムの開発の流れ ( 一例 ) ハードウェア開発 ハードウェアバグが発生した場合 基板開発費がさらに上乗せ ハードウェア仕様策定 回路図レイアウト 基板作成 基板基礎評価 システム仕様策定 テストフィードバック システムテスト / 検証 ソフトウェア開発 ソフトウェア仕様策定 ハンドコーディング ソフトウェア単体試験 基板が完成するまで実機でソフトウェア検証することができない ハンドコーディングのためヒューマンエラーの可能性が高くなる 2014 Renesas Electronics Corporation. All rights reserved. 7

8 1.2 目的とメリットモデルベース開発を利用した組込みシステムの開発の流れ ( 一例 ) 仮想環境 実機環境 システムシミュレーション 基板作成 基板基礎評価 システム仕様策定 ハードウェアシミュレーション テストフィードバック システムテスト / 実機検証 ソフトウェアシミュレーション コーディング 仮想環境上 ( シミュレーション ) で仕様の妥当性を確認することが可能 モデルベース開発対応 設計品質の向上 後戻り工程の低減 ヒューマンエラーの低減 生産性の向上 プラントモデルによる全体検証 2014 Renesas Electronics Corporation. All rights reserved. 8

9 1.3 モデルベース開発環境を使用した場合のメリットモータ制御アプリケーションハードウェア開発では 従来の開発工程 机上による仕様及び機能検証 検討基板開発テスト モデルベース開発環境を使用した開発工程 シミュレーションによる仕様及び機能検証 検討 基板開発 テスト 工数削減 Time 机上による仕様検証 システム仕様でシミュレーションによる仕様検証 検討 ( ソフトウェア不要 ) 工数削減 低コスト化 信頼性の確保 2014 Renesas Electronics Corporation. All rights reserved. 9

10 1.3 モデルベース開発環境を使用した場合のメリットモータ制御アプリケーションソフトウェア ( アルゴリズム ) 開発では 従来の開発工程 仕様検討 コーディング パラメータ調整 実機によるテスト 検証コード / パラメータ微調整 モデルベース開発環境を使用した開発工程 シミュレーションによる仕様検証 検討 実機によるテスト 検証コード / パラメータ微調整 工数削減 シミュレーションによりソフトウェア ( アルゴリズム ) 検証が可能 ( ハードウェア不要 ) Time シミュレーション時にパラメータ調整を実施するため パラメータ調整工数や実機によるテスト出戻り及び実機破壊が半減 工数削減 低コスト化 信頼性の確保 2014 Renesas Electronics Corporation. All rights reserved. 10

11 1.3 モデルベース開発環境を使用した場合のメリットモータ制御アプリケーションのシステム検証では 制御系の安定性評価のために ナイキスト線図 周波数特性評価のために ボード線図 応答性評価のために ステップ応答特性評価 システム環境に近い状態の評価のために 負荷トルクを考慮した速度評価など 机上では難しい評価を簡単に確認することが可能 2014 Renesas Electronics Corporation. All rights reserved. 11

12 2. ルネサスモデルベース開発環境ご紹介 2014 Renesas Electronics Corporation. All rights reserved. 12

13 2.1 当社モデルベース開発環境概要 MATLAB & Simulink C プログラム C 言語自動生成 シミュレーションフィードバック シミュレーション 評価結果フィードバック マイコン実装 結果比較 シミュレーション結果 実機評価 / 結果 2014 Renesas Electronics Corporation. All rights reserved. 13

14 2.2 モデル設計 : 制御器 モータ制御ボード Renesas Solution Starter Kit( 低電圧モータ制御評価システム ) を MathWorks 社製ツールを用いて実装 ( モデル化 ) モデル化 Renesas Solution Starter Kit ( 低電圧モータ制御評価システム ) Simulink 画面 2014 Renesas Electronics Corporation. All rights reserved. 14

15 2.2 モデル設計 : 制御器 モータ制御ソフト センサレス / エンコーダベクトル制御サンプルソフトをMathWorks 社製ツールを用いて実装 ( モデル化 ) モデル化 サンプルコード 2014 Renesas Electronics Corporation. All rights reserved. 15

16 2.2 モデル設計 : 制御対象 3 相 BLDC モータ Renesas Solution Starter Kitに同梱しているBLDCモータを MathWorks 社製ツールを用いて実装 ( モデル化 ) 負荷トルク BLDC モータ モデル化 エンコーダ BLDC モータ 2014 Renesas Electronics Corporation. All rights reserved. 16

17 3. シミュレーション 2014 Renesas Electronics Corporation. All rights reserved. 17

18 3.1 シミュレーション概要 評価の流れ モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 1 つの GUI で操作可能 GUI トップ画面 2014 Renesas Electronics Corporation. All rights reserved. 18

19 2.1 当社モデルベース開発環境 GUI 概要 C 言語自動生成 モータパラメータ調整 制御パラメータ調整 GUI トップ画面 PIゲインチューニング 検証 19 シミュレーション 様々な機能を 1 つの GUI に実現 2014 Renesas Electronics Corporation. All rights reserved.

20 3.2 モータパラメータの設定 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 モータパラメータ設定 モータに関わるパラメータを設定することが可能 抵抗 d/q 軸インダクタンス 定格トルク 最大速度 慣性モーメント 極対数 など 2014 Renesas Electronics Corporation. All rights reserved. 20

21 3.2 制御パラメータの設定 1 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 制御パラメータ設定 ( 基本設定 ) 制御に関わるパラメータを設定することが可能 位置センサあり? なし? クロック デッドタイム 過速度リミット値 キャリア周波数 最大電流 など 2014 Renesas Electronics Corporation. All rights reserved. 21

22 3.2 制御パラメータの設定 2 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 制御パラメータ設定 PIゲインを直接入力可能 理論式から求めるPIゲイン静的チューニング 特定の負荷に対してのPIゲイン動的チューニング (Simulink Design Optimization 使用 ) 伝達関数 ( 電流ループ 速度ループ ) の計算 解析や勉強の為にステップ応答 ナイキスト線図 ボード線図の表示 2014 Renesas Electronics Corporation. All rights reserved. 22

23 3.2 指令値設定 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 モータ速度指令値 / 負荷トルク指令値設定 モータ速度指令値や負荷トルク指令値をGUIを用いて直感的に操作 / 設定することが可能 速度指令値設定 負荷トルク指令値設定 2014 Renesas Electronics Corporation. All rights reserved. 23

24 3.3 シミュレーション実行 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 シミュレーション実行 ボタン 1 つでシミュレーション開始 / 停止 シミュレーション結果 : 任意の値を表示することが可能 シミュレーション進捗度 シミュレーション開始シミュレーション停止 例 1) 速度指令値とモータ速度 2014 Renesas Electronics Corporation. All rights reserved. 24 例 2)3 相電流波形

25 3.3 シミュレーション実行 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 評価例 : 負荷トルクをかけたときの速度評価 シミュレーション条件 速度指令値 :2000rpm 負荷トルク : モータ定格トルクの50% 2000rpm 負荷をかけるとモータ速度低下 負荷 50% 3 秒 2014 Renesas Electronics Corporation. All rights reserved. 25 システムの要求に応じてパラメータを修正する 多様な条件を評価することが可能 ( 基板 モータ必要なし )

26 4. 実機評価 2014 Renesas Electronics Corporation. All rights reserved. 26

27 4.1 C 言語自動生成 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 下記のソフトウェア開発環境 ( 統合開発環境 ) に対応し ボタン1つでコード生成が可能 CS+ 版 e 2 studio 版 CS+ 版 ユーザが任意に統合開発環境を選択することが可能 e 2 studio 版 2014 Renesas Electronics Corporation. All rights reserved. 27

28 4.2 実機検証 モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 シミュレーション結果と実機比較 速度指令値 0s~3.3s:2000rpm 3.3s~:0rpm 負荷トルク指令値 : 無負荷 シミュレーション時間 :6.5s モータ速度評価 速度指令値 シミュレーション結果と実機評価結果はほぼ同等の値を得ることが可能 シミュレーションモータ速度結果 実機評価モータ速度結果 シミュレーション上には存在しない基板ノイズなどが影響 シミュレーション結果 実機結果にすることが課題 2014 Renesas Electronics Corporation. All rights reserved. 28

29 4.3 各種設定から実機評価までの流れ ( まとめ ) モータパラメータの設定 制御パラメータの設定 速度 / 負荷トルク指令値設定 シミュレーション 実機評価 各種パラメータ設定 抵抗 q 軸インダクタンス d 軸インダクタンス 定格電圧 定格電力 極対数など 各種パラメータ設定 制御手法 デッドタイム 最大電流 最大電圧 PI チューニングなど 指令値設定 速度指令値の設定 負荷トルク指令値の設定 シミュレーション スタート ストップ 波形自動表示 実機評価 C 言語自動生成 統合開発環境自動表示 様々な機能を 1 つの GUI に実現 2014 Renesas Electronics Corporation. All rights reserved. 29

30 5. まとめ 2014 Renesas Electronics Corporation. All rights reserved. 30

31 5. まとめ 本講演では 当社で開発したモータ制御モデルベース開発環境の概要をご紹介致しました 当社モータ制御モデルベース開発環境を用いることにより 低コスト化や開発期間の短縮を図ることが可能です 当社では様々なモータ及び制御手法のモデルベース開発環境を用意しています 詳細に関しましては当社までお問い合わせください 問い合わせ先 : ルネサスエレクトロニクス株式会社技術問合わせホームページ Renesas Electronics Corporation. All rights reserved. 31

32 ルネサスエレクトロニクス株式会社 2014 Renesas Electronics Corporation. All rights reserved.

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

モデルベースデザイン(MBD)を始めましょう!

モデルベースデザイン(MBD)を始めましょう! モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2 はじめに スマートシステムの増加 ソフトの高機能化

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

スライド 1

スライド 1 ~MATLAB EXPO 2012~ スライディングモード制御の インクジェットプリンターへの適用 2012/10/30 ブラザー工業株式会社畠山雄一 MathWorks Japan 赤阪大介 2012 Brother Industries, Ltd. & The MathWorks, Inc. All Rights Reserved. 1 本講演の背景 提供している製品 技術の有用性を確認したい

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

ムラタ流MBD:エネルギーマネジメントシステム向け組み込み開発の事例

ムラタ流MBD:エネルギーマネジメントシステム向け組み込み開発の事例 ムラタ流 MBD エネルギーマネジメントシステム (EMS) 向け組み込み開発の事例 株式会社村田製作所 馬 躍 1 村田製作所について 村田製作所は 最先端の技術 部品を創出する総合電子部品メーカーです Innovator in Electronics をスローガンに掲げ 豊かな社会の実現をめざします ムラタの強み 最先端の材料を研究開発 広範囲な製品ラインナップ グローバルな生産 販売ネットワーク

More information

054_10モデルベースデザイン

054_10モデルベースデザイン 技術紹介 モデルベースデザインによる制御設計 石田修一 Ishida Shuuichi *1 近年 制御システムは高機能化や大規模化が急速に進み 従来の開発手法では開発費用の増加 開発期間の拡大 および品質の確保が困難となった 対して顧客からは 開発期間の短縮やコストダウンへの強い要求がある この現状を改善する方法として モデルベースデザイン (MBD:Model-Based Design) が自動車分野

More information

物理モデリングツールによる モデリングとシミュレーション入門

物理モデリングツールによる モデリングとシミュレーション入門 Simscape TM による物理モデリング入門 ~Simscape + Sim シリーズの紹介 ~ MathWorks Japan アプリケーションエンジニア 渡辺修治 基本ツール MATLAB Simulink Stateflow 便利ツール Simulink Coder TM Simulink Control Design TM Simulink Design Optimization TM

More information

EC-1 アプリケーションノート 高温動作に関する注意事項

EC-1 アプリケーションノート 高温動作に関する注意事項 要旨 アプリケーションノート EC-1 R01AN3398JJ0100 Rev.1.00 要旨 EC-1 の動作温度範囲は Tj = -40 ~ 125 としており これらは記載の動作温度範囲内での動作を保証す るものです 但し 半導体デバイスの品質 信頼性は 使用環境に大きく左右されます すなわち 同じ品質の製品でも使用環境が厳しくなると信頼性が低下し 使用環境が緩くなると信頼性が向上します たとえ最大定格内であっても

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

モータ・モーション制御MBD実践 ~組み込みコード生成編~

モータ・モーション制御MBD実践 ~組み込みコード生成編~ モーター モーション制御モデルベースデザイン実践 プラントモデリング 編 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア福井慶一 2013 The MathWorks, Inc. 1 モーター モーション制御とは? 負荷の動き ( 速度 位置 ) を意図した通りに制御 駆動回路モーター負荷 熱 制御信号 制御 モーター制御 制御指令 モーターの状態 (

More information

ACモーター入門編 サンプルテキスト

ACモーター入門編 サンプルテキスト 技術セミナーテキスト AC モーター入門編 目次 1 AC モーターの位置付けと特徴 2 1-1 AC モーターの位置付け 1-2 AC モーターの特徴 2 AC モーターの基礎 6 2-1 構造 2-2 動作原理 2-3 特性と仕様の見方 2-4 ギヤヘッドの役割 2-5 ギヤヘッドの仕様 2-6 ギヤヘッドの種類 2-7 代表的な AC モーター 3 温度上昇と寿命 32 3-1 温度上昇の考え方

More information

Microsoft PowerPoint - chap8.ppt

Microsoft PowerPoint - chap8.ppt 第 8 章 : フィードバック制御系の設計法 第 8 章 : フィードバック制御系の設計法 8. 設計手順と性能評価 キーワード : 設計手順, 性能評価 8. 補償による制御系設計 キーワード : ( 比例 ),( 積分 ),( 微分 ) 学習目標 : 一般的な制御系設計における手順と制御系の性能評価について学ぶ. 学習目標 : 補償の有効性について理解し, その設計手順を習得する. 第 8 章

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

CSWシリーズ専用ソフトウエアの紹介2

CSWシリーズ専用ソフトウエアの紹介2 CSW5550 /3 相交流直流電源 可変 3 相電源として 規格試験用電源として その特徴とソフトウエア < 特徴 > CSW は 3 相交流を入力し その交流を一次側と絶縁し 5550VA の可変 3 相交流及び直流にし出力し ノイズの少ない任意の出力を行 えます 3 相は一定出力から 設定したパターン 変化で出力することができます これは主に各種電子機器の入力試験を行えるよう にするために備わっている機能です

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BRMS への取り組みと導入事例 2013 年 11 月 15 日 ( 金 ) SCSK 株式会社 IT エンジニアリング事業本部ミドルウェア部 本日の内容 BRMS 適用のポイント BRMS の可能性 Page 1 Page 2 アプリケーション連携基盤 SCSKのRed Hat JBoss / ミドルウェア技術に関する取り組みの取り組み 世界のオープンソース コミュニティーから製品化されたソフトウェア

More information

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載

enus MLD-030-ST ブラシレス DC モータドライバ スイッチ詳細 内蔵ポテションメータ ピーク出力設定 ( モータ保護機能 ) 配線説明 ドライバ側マーク Ref+ Hu Hv Hw Ref- モータ配線色黄色茶色オレンジ青色灰色緑黒赤 安心のフェニックスコンタクト社製コネクターを搭載 S MLD-030-ST ブラシレス DC モータドライバ コネクター定義 マーク 定義 DC+/DC- DC 電源入力 (DC24~DC48),, モータリード線 Hu,Hv,Hw ホール素子リード線 REF+ ホール素子電源 (+) REF- ホール素子電源 (-) S 外部ポテションメータ ( 内蔵ポテションメータにてスピード調整時は接続なし ) 又は記述 1 のパルスレート COM コモングラウンド

More information

Presentation Title

Presentation Title Robo- 剣 Conference 2017/05/20(Sat) - Robo- 剣における MATLAB/Simulink の活用 MathWorks Japan 三田宇洋 2012 The MathWorks, Inc. 1 Robo- 剣 Conference 2017 年 05 月 20 日土曜日講習会 13:00-18:00 会場 : 県立青少年センター 2. ロボット作りへのMatlab

More information

リスク分析・シミュレーション

リスク分析・シミュレーション はじめての Crystal Ball 操作マニュアル編 株式会社構造計画研究所 164-0012 東京都中野区中央 4-5-3 TEL:03-5342-1090 Copyright 2012 KOZO KEIKAKU ENGINEERING Inc. All Rights Reserved. はじめに 本マニュアルは 初めて Crystal Ball を操作する方向けに作成された入門マニュアルです

More information

ハード・ソフト協調検証サービス

ハード・ソフト協調検証サービス ハード ソフトのトータルサービス 富士通エレクトロニクス株式会社株式会社富士通ソフトウェアテクノロジーズ 目次 モデル概要 モデル 特徴 このサービス利用のメリット サービスメニュー 1 企画から開発 量産までトータルでサポート 富士通エレクトロニクスと富士通ソフトウェアテクノロジーズはお客様の製品開発を 企画段階から開発 量産までサポートします 製品開発をサポートする検証 認定作業のご提供 製品要求仕様の作成をコンサルティング

More information

PSIM Version 9

PSIM Version 9 PSIM Version 9.2 の 新 機 能 PSIM Version 9.2 の 主 な 新 機 能 : 新 機 能 HEV Design Suite リチウムイオンバッテリモデル モータ 駆 動 や HEV のための 最 大 トルク 制 御 弱 め 磁 束 制 御 などの 制 御 ブロック 追 加 空 間 ベクトル PWM ブロック 追 加 数 式 演 算 機 能 を 持 ったパラメータツール

More information

PSIM Ver9.0 の新機能 PSIM Version 9.0 の新機能のハイライト 太陽電池モデルモデル 風車風車モデルモデル MPPT サンプルを追加 (Renewable Energy Models) TI 社の DSP F28335 対応のコードのコード生成機能 (SimCoder Module) 温度変化によるデバイスによるデバイス特性特性を考慮 (Thermal Module) THD,

More information

目次 ペトリネットの概要 適用事例

目次 ペトリネットの概要 適用事例 ペトリネットを利用した状態遷移テスト 和田浩一 東京エレクトロン SDC FA グループ 目次 ペトリネットの概要 適用事例 ペトリネットの概要 - ペトリネットとは ペトリネット (Petri Net) とは カール アダム ペトリが 1962 年に発表した離散分散システムを数学的に表現する手法である 視覚的で 数学的な離散事象システムをモデル化するツールの一つである ペトリネットの概要 - ペトリネットの表記と挙動

More information

NCB564個別00版

NCB564個別00版 HES-M00 シリーズの新機能 脱調レス / 脱調検出 1 1. 概要 EtherCAT モーションコントロール機能内蔵 2 相マイクロステップモータドライバ HES-M00 シリーズにエンコーダ入力が追加され, 脱調検出 / 脱調レス等の機能が付加されました 2. 仕様 項目 仕様 備考 制御軸数 1 ボードで 1 軸制御 最大 枚 ( 軸制御 ) までスタック可能 電源電圧 ( モータ駆動電圧

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

Microsoft PowerPoint - 6.PID制御.pptx

Microsoft PowerPoint - 6.PID制御.pptx プロセス制御工学 6.PID 制御 京都大学 加納学 Division of Process Control & Process Systems Engineering Department of Chemical Engineering, Kyoto University manabu@cheme.kyoto-u.ac.jp http://www-pse.cheme.kyoto-u.ac.jp/~kano/

More information

ルネサス半導体セミナースケジュール

ルネサス半導体セミナースケジュール 1 3ページ 2018 年 7 12 4 6ページ 2018 年 1 6 2018/6/25 ルネサス半導体トレーニングセンター 2018 年 7 12 セミナースケジュール 製品セミナー RL78 RX RZ Renesas Synergy 7 8 9 10 11 12 RL78 1day 速習 動かしてみよう編 コース 8/7 9/26 12/11 1 間 ( 無料 ) 8/23 12/20 RL78

More information

2008年度 設計手法標準化アンケート 集計結果

2008年度 設計手法標準化アンケート 集計結果 2011 年度 設計手法普及調査アンケート 集計経過報告 2012 年 2 月社団法人組込みシステム技術協会状態遷移設計研究会 目次 1. アンケート実施の目的 3 2. アンケートの実施対象 4 3. アンケート回答数 5 4. 実施したアンケートの内容 6 5. アンケート回答者の構成 8 6. アンケート集計結果 9 6.1 回答者の担当製品分野について 10 6.2 回答者の部門について 11

More information

Microsoft Word - ESX_Restore_R15.docx

Microsoft Word - ESX_Restore_R15.docx 解決!! 画面でわかる簡単ガイド : 仮想環境データ保護 (VMWARE ESX)~ 仮想マシン 丸ごと 復旧手順 ~ 解決!! 画面でわかる簡単ガイド CA ARCserve Backup r15 仮想環境データ保護 (VMware ESX) ~ 仮想マシン 丸ごと 復旧手順 ~ 2011 年 4 月 CA Technologies 1 目次 はじめに... 3 仮想マシンの復旧... 5 まとめ...

More information

項目記載事項必須 1.4 非機能性 更新業務仕様書の 3-4 非機能要件 を踏まえ 提案するシステムに関して 基本的な考え方や方針 アピールポイント等を簡潔かつ明瞭に記述すること 3-4 非機能要件 の (1) から (4) に区分し すべての項目について記述すること 1.5 他システム連携 更新業

項目記載事項必須 1.4 非機能性 更新業務仕様書の 3-4 非機能要件 を踏まえ 提案するシステムに関して 基本的な考え方や方針 アピールポイント等を簡潔かつ明瞭に記述すること 3-4 非機能要件 の (1) から (4) に区分し すべての項目について記述すること 1.5 他システム連携 更新業 企画提案書等記載事項 Ⅰ 企画提案書に係る記載事項 松阪市グループウェアシステム ( 以下 本システム という ) の更新業務及び保守業務に係 る企画提案書の本編については 次の目次に従って作成すること なお 仕様と異なる提案をするときはその理由を明確に記述すること 項目記載事項必須 1 業務システム 1.1 システム更新における取組み 松阪市グループウェアシステム更新業務仕様書 ( 以下 更新業務仕様書

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

Microsoft Word - 実験テキスト2005.doc

Microsoft Word - 実験テキスト2005.doc 7. プロセスの動特性 [Ⅰ] 目的液レベル制御実験および同シミュレーションを通して ステップ応答に基づくプロセス伝達関数の同定方法 ステップ応答法による PI 制御パラメータの調整方法 および PI 制御パラメータが制御性能へ与える影響について習熟する さらに 制御シミュレーションを通して むだ時間を有するプロセスに対するスミス補償型制御の有効性を確認する [Ⅱ] 理論 2.1 ステップ応答実験による伝達関数の同定

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

ハピタス のコピー.pages

ハピタス のコピー.pages Copyright (C) All Rights Reserved. 10 12,500 () ( ) ()() 1 : 2 : 3 : 2 4 : 5 : Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All

More information

Copyright 2008 All Rights Reserved 2

Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 1 Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 3 Copyright 2008 All Rights Reserved 4 Copyright 2008 All Rights Reserved 5 Copyright 2008 All

More information

- 目次 - 1. 商用電源バックアップ 標準接続図 切換回路の接続図 タイムチャート パラメータ設定 標準接続図 ( スター - デルタ切換始動 ) 切換回路の接続図 タイムチャート パラメータ設定... 5

- 目次 - 1. 商用電源バックアップ 標準接続図 切換回路の接続図 タイムチャート パラメータ設定 標準接続図 ( スター - デルタ切換始動 ) 切換回路の接続図 タイムチャート パラメータ設定... 5 2 TOSVERT V-AS1/PS1 商用電源バックアップ商用電源 インバータ切換について 本資料に掲載してある技術情報は 製品の代表的動作 応用を説明するためのもので その使用に際して当社 及び第三者の知的財産権その他の権利に対する保証または実施権の許諾を行うものではありません Toshiba Schneider Inverter Corporation 2006 All rights reserved.

More information

スライド 1

スライド 1 SPI Japan 2013 in 東京 Software Product Line の実践 ~ テスト資産の構築 ~ 住友電工情報システム株式会社 QCD 改善推進部品質改善推進グループ服部悦子 2013.10.17 P.1/24 目次 1. テスト資産構築に至る背景 2. テスト資産の構築 ~ 自動テストの実現 ~ 3. 結果と評価 P.2/24 テスト資産構築に至る 背景 P.3/24 背景

More information

HEVの車両全体シミュレーションによるシステム最適化

HEVの車両全体シミュレーションによるシステム最適化 HEV の車両全体シミュレーションによるシステム最適化 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2016 The MathWorks, Inc. 1 マルチモードハイブリッド自動車 Engine Mode EV Mode SHEV Mode 1. Higuchi, N., Sunaga, Y., Tanaka, M., Shimada, H.:

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

86 セRH Series 型式と記号 機種 :DC サーボアクチュエータ RH シリーズ 型番 :5,8,11,14 バージョン記号 出力軸定格回転速度 : 6r/min を示す 仕様 ( インクリメンタルエンコーダ付 ) RH - 14 D E 1 A L エンコーダ出力方式 O

86 セRH Series 型式と記号 機種 :DC サーボアクチュエータ RH シリーズ 型番 :5,8,11,14 バージョン記号 出力軸定格回転速度 : 6r/min を示す 仕様 ( インクリメンタルエンコーダ付 ) RH - 14 D E 1 A L エンコーダ出力方式 O ハーモニックドライブ には 歯車のガタツキによるバックラッシがありませんので 高精度の位置決めを可能にしています 小型で高出力トルク 最小型番 RH-5A のアクチュエータの外形寸法φ 2 89mm で.69N m 瞬時最大トルク と高出力です 短形出力信号を 4逓倍速まで分解できます サーボドライバ 高精度オプティカルエンコーダ リニアアクチュエータ 構造 Galvanometer Scanner

More information

Microsoft PowerPoint - FormsUpgrade_Tune.ppt

Microsoft PowerPoint - FormsUpgrade_Tune.ppt Forms アップグレードに関する追加作業 - 工数見積もり サイジング チューニング - 必要な追加作業 工数見積もり サイジング チューニング 2 1 C/S Web 工数見積もり 工数見積もりの際に考慮すべき事項 アップグレードによる一般的なコード修正 テスト工数 C/S では使用できるが Web では廃止された機能に対する対策 USER_EXIT を使って Windows 上 DLL のファンクションをコールしている

More information

Copyright 2008 NIFTY Corporation All rights reserved. 2

Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 2 Copyright 2008 NIFTY Corporation All rights reserved. 3 Copyright 2008 NIFTY Corporation All rights reserved. 4 Copyright 2008 NIFTY Corporation

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 回転型クレーン / 倒立振子の制御 回転型クレーンの制御 状態方程式 コントローラ設計 ( 極配置法 ) コントローラ設計 ( 最適レギュレータ ) 回転型倒立振子の制御 状態方程式 コントローラ設計 コントローラの形式 : 状態フィードバック P-D コントローラ アームの P-D 振子の P-D 目標値 状態フィードバック制御 回転型クレーン コントローラ で 状態フィードバック制御 回転型クレーン

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

PLQ-20 取扱説明書 詳細編

PLQ-20 取扱説明書 詳細編 2013 Seiko Epson Corporation. All rights reserved. o n h o n n A B o C h h n h A B n C n n A B C A B C A B C D E A B C D E h o h B n C A D E F G n A C B n A B C D C n A B D F G H E n A B D C E F n A h

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

Microsoft PowerPoint - 1_コンパイラ入門セミナー.ppt

Microsoft PowerPoint - 1_コンパイラ入門セミナー.ppt インテルコンパイラー 入門セミナー [ 対象製品 ] インテル C++ コンパイラー 9.1 Windows* 版インテル Visual Fortran コンパイラー 9.1 Windows* 版 資料作成 : エクセルソフト株式会社 Copyright 1998-2007 XLsoft Corporation. All Rights Reserved. 1 インテル コンパイラー入門 本セミナーの内容

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

PowerPoint Presentation

PowerPoint Presentation ETAS の AUTO ソリューションイータス株式会社 1 Public STJ2/ETAS 2014-01 ETAS GmbH 2014. All rights reserved, also regarding any disposal, exploitation, reproduction, editing, イータス株式会社会社概要 ETAS Driving Embedded Excellence

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

e3-ac-servo-high-precision-control-mitsubishielectric

e3-ac-servo-high-precision-control-mitsubishielectric AC サーボモータを用いた放電加工機の 高精度制御に関する MATLAB 適用事例 三菱電機株式会社先端技術総合研究所メカトロニクス技術部門技術顧問今城昭彦 ( 一社 ) 日本機械学会理事 自動運転に関する分野横断型研究会幹事 診断 メンテナンス技術に関する研究会委員 1D モデリング研究会員 ( 一社 ) システム制御情報学会代表会員 ( 一社 ) 日本航空宇宙学会正員 1 イントロダクション 2

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

Simulink 環境における小規模マイクログリッドのシミュレーション第 2 報 - フェーザー法による 24 時間のシミュレーション - 三田宇洋, テクニカルコンサルティング部, MathWorks Japan 1. 導入文献 [1] では 実用的な時間でシミュレーションを行う小規模のマイクログ

Simulink 環境における小規模マイクログリッドのシミュレーション第 2 報 - フェーザー法による 24 時間のシミュレーション - 三田宇洋, テクニカルコンサルティング部, MathWorks Japan 1. 導入文献 [1] では 実用的な時間でシミュレーションを行う小規模のマイクログ Simulink 環境における小規模マイクログリッドのシミュレーション第 2 報 - フェーザー法による 24 時間のシミュレーション - 三田宇洋, テクニカルコンサルティング部, MathWorks Japan 1. 導入文献 [1] では 実用的な時間でシミュレーションを行う小規模のマイクログリッドのシミュレーションモデルを紹介した モデルはコンバータやインバータとそのスイッチング制御を省略し

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

GUI Graphical User interface ジェンウェアスリー 情報家電から産業機器まで あらゆる分野のGUI開発で 驚異的な効率UPを実現する新世代ソリューション GUI開発工数 ソフトウェア構成 GUI統合開発環境 GENWARE3は GUIエディタ と GUIライブラリ で構成されています (ジェンウェアスリー) GUIライブラリ(ミドルウェア)( ) GUIエディタ (ジェンスケッチスリー)

More information

1000 Copyright(C)2009 All Rights Reserved - 2 -

1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 1 - 1000 Copyright(C)2009 All Rights Reserved - 2 - 1000 Copyright(C)2009 All Rights Reserved - 3 - 1000 Copyright(C)2009 All Rights Reserved - 4 - 1000 Copyright(C)2009

More information

Managing and Sharing MATLAB Code

Managing and Sharing MATLAB Code MATLAB 入門 ~ 開発向けプログラミング編 ~ MathWorks Japan アプリケーションエンジニアリング部テクニカルコンピューティング 大開孝文 2015 The MathWorks, Inc. 1 プログラミング言語としての MATLAB 2014 年 7 月 IEEE Spectrum による プログラミング言語の人気調査 (12 種類の項目での結果 ) 結果 : MATLAB が

More information

Copyright 2006 KDDI Corporation. All Rights Reserved page1

Copyright 2006 KDDI Corporation. All Rights Reserved page1 Copyright 2006 KDDI Corporation. All Rights Reserved page1 Copyright 2006 KDDI Corporation. All Rights Reserved page2 Copyright 2006 KDDI Corporation. All Rights Reserved page3 Copyright 2006 KDDI Corporation.

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 10 July 2018 目次 1. 本マニュアルについて 2.( 前準備 ) ライブラリの解凍と保存 3. プロジェクトの作成 4. シミュレーションプロファイルの作成 5.LIBファイルの登録 6.OLBファイルの登録 7. コンデンサのインピーダンス計算例

More information

スライド 1

スライド 1 パワーインダクタ および高誘電率系チップ積層セラミックコンデンサの動的モデルについて 1 v1.01 2015/6 24 August 2015 パワーインダクタの動的モデルについて 2 24 August 2015 24 August 2015 動的モデルの必要性 Q. なぜ動的モデルが必要なのか? A. 静的モデルでは リアルタイムに変化するインダクタンスを反映したシミュレーション結果が得られないから

More information

PowerPoint Resources

PowerPoint Resources 2009 The MathWorks, Inc. ET ロボコンを通じた MBD 人材育成 MathWorks Japan アドバンストサポート部 ET ロボコン 2009 実行委員会技術委員 / 性能審査委員 近政隆 背景 (1) 自動車業界における MBD の浸透 JMAAB(Japan MATLAB Automotive Advisory Board) と MathWorks の協調による開発手法

More information

Presentation Title Arial 28pt Bold Agilent Blue

Presentation Title Arial 28pt Bold Agilent Blue Agilent EEsof 3D EM Application series 磁気共鳴による無線電力伝送システムの解析 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリングアプリケーション エンジニア 佐々木広明 Page 1 アプリケーション概要 実情と現状の問題点 非接触による電力の供給システムは 以前から研究 実用化されていますが そのほとんどが電磁誘導の原理を利用したシステムで

More information

LP-S8160 LP-S7160 LP-S6160

LP-S8160 LP-S7160 LP-S6160 NPD5266-00 2015 Seiko Epson Corporation. All rights reserved. A B K L N N N N N A B C D N N N N N N N N N N N E F A B G N A B C L D K L K C K A D B D B E G H N K L K F A B C K D E K F N K L

More information

LP-M8040シリーズ

LP-M8040シリーズ NPD4928-01 2013 Seiko Epson Corporation. All rights reserved. A B K L N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N N L L N N N N B K N N L A N C D B C E E K G F H I N N

More information

LP-M5300シリーズ

LP-M5300シリーズ NPD4639-00 2012 Seiko Epson Corporation. All rights reserved. A B K L N F G A B C D E A B C D E F G N N N N N N N N N N N N N N N N N N N N N N N N N N N L L N N B K C N N L D A N B E C E F

More information

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタケシ ) 対象者 : 実機レス環境でモーター含むメカ制御プログラムの設計 および検証 学習をしてみたい方

More information

ソフト活用事例③自動Rawデータ管理システム

ソフト活用事例③自動Rawデータ管理システム ソフト活用事例 3 自動 Raw データ管理システム ACD/Labs NMR 無料講習会 & セミナー 2014 於 )2014.7.29 東京 /2014.7.31 大阪 富士通株式会社テクニカルコンピューティング ソリューション事業本部 HPC アプリケーション統括部 ACD/Spectrus をご選択頂いた理由 (NMR 領域 ) パワフルな解 析機能 ベンダーニュートラルな解析環境 直感的なインターフェース

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

スライド 1

スライド 1 レガシーシステムを刷新するモダナイゼーションの効果的 / 効率的なアプローチについて 自動マイグレーション サービス i Renaissance のご紹介 自動マイグレーション サービス i Renaissance とは i RenaissanceはRPG/COBOL/CLから 元言語に寄せたJavaへの自動変換 サービスを提供します i Renaissanceは下記の3つフェーズから構成されます

More information

Visual Studio 2017 RC インストール & ファーストステップガイド 2016 年 11 月 16 日 (V1.0)

Visual Studio 2017 RC インストール & ファーストステップガイド 2016 年 11 月 16 日 (V1.0) Visual Studio 2017 RC インストール & ファーストステップガイド 2016 年 11 月 16 日 (V1.0) このドキュメントは現状版として提供されます このドキュメントに記載されている情報や見解 (URL 等のインターネット Web サイトに関する情報を含む ) は 将来予告なしに変更されることがあります このドキュメントに記載された例は 説明のみを目的とした架空のものです

More information

トルクリップル計測システム 特長 高速リップル計測 : モーターの常用回転数での計測が可能 自動計測 : ブレーキ負荷の PID トルク制御や回転制御で自動計測 使いやすいソフトウェア : タブ切り替えだけの簡単操作 豊富なグラフ表示 : 強度分布 ウォーターフォール表示 次数解析機能 : 特定の次

トルクリップル計測システム 特長 高速リップル計測 : モーターの常用回転数での計測が可能 自動計測 : ブレーキ負荷の PID トルク制御や回転制御で自動計測 使いやすいソフトウェア : タブ切り替えだけの簡単操作 豊富なグラフ表示 : 強度分布 ウォーターフォール表示 次数解析機能 : 特定の次 トルクリップル計測システム 音 振動に影響する モータの実回転域でのトルクリップル計測 トルクリップル計測システム 特長 高速リップル計測 : モーターの常用回転数での計測が可能 自動計測 : ブレーキ負荷の PID トルク制御や回転制御で自動計測 使いやすいソフトウェア : タブ切り替えだけの簡単操作 豊富なグラフ表示 : 強度分布 ウォーターフォール表示 次数解析機能 : 特定の次数のリップル成分抽出

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

3.3 モータ運転の留意点 ギヤモータをインバータで運転する場合 ギヤモータをインバータで運転する場合 以下のような注意事項があります 出力軸トルク特性に対する注意事項ギヤモータの出力軸トルク 9544 モータ出力 (kw) SI 単位系 T G = (N m) 出力軸回転数 (r/min) < ギ

3.3 モータ運転の留意点 ギヤモータをインバータで運転する場合 ギヤモータをインバータで運転する場合 以下のような注意事項があります 出力軸トルク特性に対する注意事項ギヤモータの出力軸トルク 9544 モータ出力 (kw) SI 単位系 T G = (N m) 出力軸回転数 (r/min) < ギ 3.3 モータ運転の留意点 ギヤモータをインバータで運転する場合 ギヤモータをインバータで運転する場合 以下のような注意事項があります 出力軸トルク特性に対する注意事項ギヤモータの出力軸トルク 9544 モータ出力 (kw) SI 単位系 T G = (N m) 出力軸回転数 (r/min) < ギヤで回転数を変えた場合 > トルク モータ出力軸トルク 9544 モータ出力 (kw) SI 単位系

More information

オペアンプの容量負荷による発振について

オペアンプの容量負荷による発振について Alicatin Nte オペアンプシリーズ オペアンプの容量負荷による発振について 目次 :. オペアンプの周波数特性について 2. 位相遅れと発振について 3. オペアンプの位相遅れの原因 4. 安定性の確認方法 ( 増幅回路 ) 5. 安定性の確認方法 ( 全帰還回路 / ボルテージフォロア ) 6. 安定性の確認方法まとめ 7. 容量負荷による発振の対策方法 ( 出力分離抵抗 ) 8. 容量負荷による発振の対策方法

More information

HA17458シリーズ データシート

HA17458シリーズ データシート お客様各位 カタログ等資料中の旧社名の扱いについて 1 年 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

untitled

untitled http://www.riskdatabank.co.jp The of Japan, Ltd. All rights reserved. 2 The of Japan, Ltd. All rights reserved. 3 The of Japan, Ltd. All rights reserved. 4 The of Japan, Ltd. All rights reserved. 5 The

More information

Multi-konzeptionelle Verwendung von Low-Cost Hardware in der Lehre

Multi-konzeptionelle Verwendung von Low-Cost Hardware in der Lehre 開発プロセスにおける制御試験入門 MathWorks Japan アプリケーションエンジニアリング部制御 岩井理樹 2015 The MathWorks, Inc. 1 本講演の対象者 & メッセージング 対象者 ( 下記の初心者の方々が主に対象となります ) あまり Simulink に馴染みのない方 Simulink で廉価版ハードウェア実装をされていない方 MATLAB /Simulink で設計したアルゴリズムの動作検証を実機で確認されたい方

More information

Microsoft Visual Studio 2010 Professional Data Sheet

Microsoft Visual Studio 2010 Professional Data Sheet Microsoft Visual Studio 2010 Professional はビジネスの要件やユーザ ーのニーズに最適なアプリケーションを選択し それを構築するために必須の機能を提供します RIA ベースのリッチな Web アプリケーション SharePoint ベースの高度な Web ポータル Windows Azure ベースのクラウドアプリケーションなど 最新テクノロジに対応したアプリケーションを既存の知識や経験を活かして開発することができます

More information

印刷アプリケーションマニュアル

印刷アプリケーションマニュアル 印刷アプリケーションマニュアル 目次印刷アプリケーションについて... 2 1.1. インストール前の管理者での事前準備... 2 1.2. インストールする... 2 1.3. 基本的な使い方... 6 1.3.1. 各部の概要... 6 1.3.2. カメレオンコードを印刷する... 7 1.3.3. 印刷レイアウトを作成する... 9 1.3.. 用紙を設定する... 10 2. サポートサービスのご案内...

More information