Microsoft PowerPoint - 03_murakami(参照)_ pptx[読み取り専用]

Size: px
Start display at page:

Download "Microsoft PowerPoint - 03_murakami(参照)_ pptx[読み取り専用]"

Transcription

1 SS 研科学技術計算分科会 アクセラレータ技術の現状と今後 ~HPC とアクセラレータ ~ 2008 年 10 月 22 日村上和彰 murakami@i.kyushu u.ac.jp 国立大学法人九州大学教授 SS 研会長 1

2 概要 高性能科学技術計算 (HPC) とアクセラレータとの関係は歴史が長い ベクトル処理もアクセラレータの一種であり かつ その元祖的存在である ベクトル処理が時間軸方向のデータレベル並列処理だったものを空間軸方向に置き換えたものが現在主流となっている SIMD 処理であり CELL や ClearSpeed がこれに該当する 一方 信号処理の世界もアクセラレータとの付き合いは古い DSP 然り 最近では DAPDNA のように数百個の演算器を 2 次元配列に配置したものも登場している 世の中のテクノロジードライバーがコンシューマーエレクトロニクスに移行している昨今 今後の HPC 業界におけるアクセラレータの進む方向性について議論する 2

3 アクセラレータ (accelerator) とは? Wikipedia Hardware accelerator: An additional unit of hardware to perform some function faster than is possible in software running on the normal CPU. むらかみの定義 主たるプロセッサにおける処理の一部を当該プロセッサに代わって実行することで 当該プロセッサ単独実行に比べて全体性能を向上 (= 加速 ) させることを可能とする ( 主たるプロセッサとは異なるアーキテクチャの ) プロセッサまたはハードウェア 3

4 アクセラレータとは? メインプロセッサ 結合路 ( 結合方式は?) アクセラレータ ( 加速方式は?) 4

5 アクセラレータ & 採用システム実例一覧 メインプロセッサ 結合方式 アクセラレータ ( 加速方式 ) 採用システム メインプロセッサ 結合方式 アクセラレータ 加速方式 IBM Roadrunner BladeCenter LS21 ボード間 : デュアル ギガ (Opteron DC 1.8GHz 2) ビット イーサネット BladeCenter QS22 (PowerXCell 8i 3.2GHz 2) 東工大 TSUBAME Opteron DC 2.4GHz ボード間 :PCI X ClearSpeed Advance CSX600 PCI X Board DELL Precision T7400 Core2 Q コア 2.5GHz チップ間 :PCI Express Nvidia GeForce GHz GRAPE 汎用プロセッサ チップ チップ間 : 共有バス 重力計算専用プロセッサ GRAPE 4 way SIMD 8 way マルチコア 96 way SIMD 8 way SIMD 16 way マルチコア 並列演算パイプライン 九州大学 EHPC/Eric SH 4 チップ間 :SH 4バス 二電子積分計算専用プ ロセッサEric 初期積分計算専用コア 1+ 漸化計算専用コア 4 CRAY XD1 Opteron チップ間 : FPGA ハードウェア処理 CREST SFQ RDP 汎用プロセッサ チップ チップ間 : 共有バス 九州大学 LSRDP( 再構成可能大規模データパス 80GHz)MCM 4 NEC SX 9 スカラ ユニット チップ内 : ベクトル パイプライン 8 IBM CELL/B.E. PowerPC 4GHz チップ内 : 共有リングバス SPE 4GHz 8 (EIB) 2 次元 FPU アレイ (1024FPU/MCM) 並列ベクトル処理 4 Way SIMD IPFLEX DAPDNA II DAP 166MHz チップ内 : 共有バス DNA 166MHz 2 次元 ALUアレイ (168ALU) TI OMAP 3530 ARM Coretex A8 チップ内 : 共有バス TMS320C64x DSP Core VLIW DSP 5

6 アクセラレータ & 採用システム実例一覧 メインプロセッサ 結合方式 アクセラレータ ( 加速方式 ) 採用システムメインプロセッサ結合方式アクセラレータ加速方式 IBM Roadrunner BladeCenter LS21 (Opteron DC 1.8GHz 2) ボード間 : デュアル ギガビット イーサネット BladeCenter QS22 (PowerXCell 8i 3.2GHz 2) 東工大 TSUBAME Opteron DC 2.4GHz ボード間 :PCI X ClearSpeed Advance CSX600 PCI X Board DELL Precision T7400 疎 Core2 Q コア 2.5GHz チップ間 :PCI Express Nvidia GeForce GHz GRAPE 汎用プロセッサ チップ チップ間 : 共有バス 重力計算専用プロセッサ GRAPE 4 way SIMD 8 way マルチコア 96 way SIMD 8 way SIMD 16 way マルチコア 並列演算パイプライン 九州大学 EHPC/Eric SH 4 チップ間 :SH 4バス 二電子積分計算専用プ ロセッサEric 初期積分計算専用コア 1+ 漸化計算専用コア 4 CRAY XD1 Opteron チップ間 : FPGA ハードウェア処理 CREST SFQ RDP 汎用プロセッサ チップ チップ間 : 共有バス 九州大学 LSRDP( 再構成 可能大規模データパス 80GHz)MCM 4 NEC SX 9 スカラ ユニット チップ内 : ベクトル パイプライン 8 IBM CELL/B.E. PowerPC 4GHz チップ内 : 共有リングバス (EIB) SPE 4GHz 8 2 次元 FPU アレイ (1024FPU/MCM) 並列ベクトル処理 4 Way SIMD IPFLEX DAPDNA II DAP 166MHz チップ内 : 共有バス DNA 166MHz 2 次元 ALUアレイ (168ALU) 密 TI OMAP 3530 ARM Coretex A8 チップ内 : 共有バス TMS320C64x DSP Core VLIW DSP 6

7 アクセラレータ & 採用システム実例一覧 メインプロセッサ 結合方式 アクセラレータ ( 加速方式 ) 採用システムメインプロセッサ結合方式アクセラレータ加速方式 IBM Roadrunner BladeCenter LS21 (Opteron DC 1.8GHz 2) ボード間 : デュアル ギガビット イーサネット BladeCenter QS22 (PowerXCell 8i 3.2GHz 2) 東工大 TSUBAME Opteron DC 2.4GHz ボード間 :PCI X ClearSpeed Advance CSX600 PCI X Board DELL Precision T7400 疎 Core2 Q コア 2.5GHz チップ間 :PCI Express Nvidia GeForce GHz GRAPE 汎用プロセッサ チップ チップ間 : 共有バス 重力計算専用プロセッサ GRAPE 4 way SIMD 8 way マルチコア 96 way SIMD 8 way SIMD 16 way マルチコア 並列演算パイプライン 九州大学 EHPC/Eric SH 4 チップ間 :SH 4バス 二電子積分計算専用プ ロセッサEric 初期積分計算専用コア 1+ 漸化計算専用コア 4 CRAY XD1 Opteron チップ間 : FPGA ハードウェア処理 CREST SFQ RDP 汎用プロセッサ チップ チップ間 : 共有バス 九州大学 LSRDP( 再構成 可能大規模データパス 80GHz)MCM 4 NEC SX 9 スカラ ユニット チップ内 : ベクトル パイプライン 8 IBM CELL/B.E. PowerPC 4GHz チップ内 : 共有リングバス (EIB) SPE 4GHz 8 2 次元 FPU アレイ (1024FPU/MCM) 並列ベクトル処理 4 Way SIMD IPFLEX DAPDNA II DAP 166MHz チップ内 : 共有バス DNA 166MHz 2 次元 ALUアレイ (168ALU) 密 TI OMAP 3530 ARM Coretex A8 チップ内 : 共有バス TMS320C64x DSP Core VLIW DSP 7

8 九州大学 EHPC/Eric ~Eric チップ ~ Eric:( 世界初の ) 二電子積分計算専用 LSI 仕様 プロセス :TSMC 0.13um, 6 層, Cu 配線 チップサイズ :5 x 10mm 2 論理ゲート :4M メモリ :704KB パッケージ : セラミック PGA 257PIN, 50.8mm 動作テスト結果 動作周波数 200MHz でテスト 歩留まり約 80% 消費電力 2.1W 8

9 九州大学 EHPC/Eric ~ マルチコア (CMP) チップ Eric~ 32b SH-4 I/F 64b 64b 64b 64b IIC Program Memory (64KB) IALU FMUL &ADD FDIV &SQRT ERF Table (128KB) EXP &ERF IALU FMUL &ADD RC Microprogram Memory (64KB) 16b 64b 64b 64b 64b 64b IIC Engine RC Engine 0 RC Engine 1 RC Engine 2 RC Engine 3 IALU FMUL &ADD IALU FMUL &ADD IALU FMUL &ADD Register File Register File Register File Register File Register File 64b 64b 64b 64b 64b Data Memory (32KB/bank 8banks) 64b IIC エンジン ( 汎用 RISC プロセッサ + 専用演算回路 ) 64b SDRAM I/F 64b RC エンジン ( 複数のマイクロエンジンからなるCMPアーキテクチャ )

10 九州大学 EHPC/Eric ~EHPC ボード (SH 4+Eric 2)~ 10

11 九州大学 EHPC/Eric ~EHPC システム ~ 11

12 CREST SFQ-RDP 4.2 K 2TB memory module (FB-DIMM [DDR3@1333MHz, 128GB] 16 modules) CMOS CPU (1chip) ORN FPU... ORN : : : : SFQ 0.5um process SFQ RDP (32FPU 32chips) (4GFLOPS/FPU)... ORN ORN... SFQ Streaming Buffer (64Kb 2chips) : : : SMAC SMAC SB... : 1024FPU@MCM (34chips) 4MCM SMAC Memory band width per MCM:256GB/s (=16GB/s 16 channels) 12

13 CREST SFQ-RDP ~2 2 SFQ-RDP~ 1 mm 配線資源 出力 SR 配線資源 タイミング調整 DFF 入力 SR ALU ORN 設計周波数 :25 GHz バイアス電流 :1.286 A 回路面積 :5.90 x 3.68 mm 2 接合数 : ALU 制御 13

14 CREST SFQ-RDP ~ 半精度浮動小数点加算器 ~ SRL Nb 2.5 ka/cm 2 standard process 設計周波数 : 20 GHz 性能 : 1 GFLOPs 接合数 : JJs 消費電力 : 3.1 mw 回路面積 : mm 2 14

15 CREST SFQ-RDP ~ 半精度浮動小数点乗算器 ~ SRL Nb 2.5 ka/cm 2 standard process CONNECT cooperated with SRL, NiCT, NU & YNU 接合数 : 11044JJs 回路面積 : mm 2 設計周波数 : 25GHz 15

16 CREST SFQ-RDP ~1um 多層配線プロセス ~ 500 nm 500 nm 400 nm 400 nm 300 nm 300 nm 400 nm 300 nm 150 nm 150 nm 150 nm 150 nm 150 nm 150 nm 150 nm 150 nm 200 nm 200 nm 200 nm GC C4 BC M8 (BAS) C3 M10 (CTL) M9 (COU) JC AlOx JJ RC RES1 RC M7 (Main ground plane) 完全平坦化層 C5 C4 C3 C2 M6 (GND3) M5 (PTL2) M4 (GND2) M3 (PTL1) M2 (GND1) M1 (DCP) GC C6 C5 C4 C3 SiO2 M8 (BAS) GC C6 C5 C4 C3 C2 C1 CC M9 (COU) BC GC C6 C5 C4 C3 C2 M2 (GND1) 接合を含むアクティブ層 主グランド面と完全平坦化層 第 2 の PTL 層 第 1 の PTL 層 DC 電源層 各層でカルデラ平坦化Nb-10 層構造 Si Substrate 16

17 CREST SFQ-RDP ~ 新ニオブ10 層構造の断面 SEM 写真 ~ M10 M9 CC JC 接合 CC BC 接合を含むアクティブ層 M8 M7 M6 M5 M4 M3 M2 M1 GC M6 M4 M2 RC C5 C4 C3 C2 RES C1 C6 C3 C2 主グランド面と完全平坦化層 第 2 の PTL 層 第 1 の PTL 層 DC 電源層 ADP613 No.4 17

18 アクセラレータ & 採用システム実例一覧 メインプロセッサ 結合方式 アクセラレータ ( 加速方式 ) 採用システムメインプロセッサ結合方式アクセラレータ加速方式 IBM Roadrunner BladeCenter LS21 (Opteron DC 1.8GHz 2) ボード間 : デュアル ギガビット イーサネット BladeCenter QS22 (PowerXCell 8i 3.2GHz 2) 東工大 TSUBAME Opteron DC 2.4GHz ボード間 :PCI X ClearSpeed Advance CSX600 PCI X Board DELL Precision T7400 疎 Core2 Q コア 2.5GHz チップ間 :PCI Express Nvidia GeForce GHz GRAPE 汎用プロセッサ チップ チップ間 : 共有バス 重力計算専用プロセッサ GRAPE 4 way SIMD 8 way マルチコア 96 way SIMD 8 way SIMD 16 way マルチコア 並列演算パイプライン 九州大学 EHPC/Eric SH 4 チップ間 :SH 4バス 二電子積分計算専用プ ロセッサEric 初期積分計算専用コア 1+ 漸化計算専用コア 4 CRAY XD1 Opteron チップ間 : FPGA ハードウェア処理 CREST SFQ RDP 汎用プロセッサ チップ チップ間 : 共有バス 九州大学 LSRDP( 再構成 可能大規模データパス 80GHz)MCM 4 NEC SX 9 スカラ ユニット チップ内 : ベクトル パイプライン 8 IBM CELL/B.E. PowerPC 4GHz チップ内 : 共有リングバス (EIB) SPE 4GHz 8 2 次元 FPU アレイ (1024FPU/MCM) 並列ベクトル処理 4 Way SIMD IPFLEX DAPDNA II DAP 166MHz チップ内 : 共有バス DNA 166MHz 2 次元 ALUアレイ (168ALU) 密 TI OMAP 3530 ARM Coretex A8 チップ内 : 共有バス TMS320C64x DSP Core VLIW DSP 18

19 アクセラレータとは? メインプロセッサ 結合路 ( 結合方式は?) アクセラレータ ( 加速方式は?) 19

20 アクセラレータ & 採用システム実例一覧 メインプロセッサ 結合方式 アクセラレータ ( 加速方式 ) 採用システム メインプロセッサ 結合方式 アクセラレータ 加速方式 IBM Roadrunner BladeCenter LS21 ボード間 : デュアル ギガ (Opteron DC 1.8GHz 2) ビット イーサネット BladeCenter QS22 (PowerXCell 8i 3.2GHz 2) 東工大 TSUBAME Opteron DC 2.4GHz ボード間 :PCI X ClearSpeed Advance CSX600 PCI X Board DELL Precision T7400 Core2 Q コア 2.5GHz チップ間 :PCI Express Nvidia GeForce GHz GRAPE 汎用プロセッサ チップ チップ間 : 共有バス 重力計算専用プロセッサ GRAPE 九州大学 EHPC/Eric SH 4 チップ間 :SH 4バス 二電子積分計算専用プ ロセッサEric 4 way SIMD 8 way マルチコア 96 way SIMD 8 way SIMD 16 way マルチコア 並列演算パイプライン 初期積分計算専用コア 1+ 漸化計算専用コア 4 CRAY XD1 Opteron チップ間 : FPGA ハードウェア処理 CREST SFQ RDP 汎用プロセッサ チップ チップ間 : 共有バス 九州大学 LSRDP( 再構成可能大規模データパス 80GHz)MCM 4 NEC SX 9 スカラ ユニット チップ内 : ベクトル パイプライン 8 IBM CELL/B.E. PowerPC 4GHz チップ内 : 共有リングバス SPE 4GHz 8 (EIB) 2 次元 FPU アレイ (1024FPU/MCM) 並列ベクトル処理 4 Way SIMD IPFLEX DAPDNA II DAP 166MHz チップ内 : 共有バス DNA 166MHz 2 次元 ALUアレイ (168ALU) TI OMAP 3530 ARM Coretex A8 チップ内 : 共有バス TMS320C64x DSP Core VLIW DSP 20

21 加速方式一覧 (1/2) 方針方式活用対象メインプロセッサアクセラレータ 基礎体力の強化 実行命令数の削減 クロック周波数の向上 メモリアクセスレイテンシの短縮 メモリバンド幅の向上 クロック周波数向上 信号線数拡大 メモリサイズの拡大 命令セットアーキテクチャの最適化 カスタム命令 特徴的 固定的処理 データ依存関係 SIMD 演算命令 データレベル並列性 ベクトル演算命令データレベル並列性 コンパイラ最適化機能の強化 並列化 データレベル並列処理 データレベル並列性 SIMD 演算 空間的並列性 ベクトル演算時間的並列性 命令レベル並列処理命令レベル並列性 命令パイプライン処理時間的並列性 スーパースカラ処理空間的並列性 21

22 加速方式一覧 (2/2) 方針方式活用対象メインプロセッサアクセラレータ 並列化 ( 続き ) スレッド / タスクレベル並列処理粗粒度並列性 マルチスレッディング マルチコア / メニーコア カスタム化 ASIP( 特定用途向けプロセッサ ) 特徴的 固定的処理 構成可能プロセッサ 再構成可能プロセッサ ハードウェア処理特徴的 固定的処理 布線論理 再構成可能ハードウェア 階層化階層化メモリ参照の局所性 スクラッチパッドメモリ キャッシュメモリ 仮想記憶 投機化先行制御動的振舞の規則性 命令プリフェッチ参照の連続性 データプリフェッチ参照の規則性 予測制御動的振舞の偏り 分岐予測 値予測 22

23 アクセラレータ向き主要加速方式 方針方式活用対象メインプロセッサアクセラレータ 実行命令数の削減 命令セットアーキテクチャの最適化 カスタム命令 特徴的 固定的処理データ依存関係 SIMD 演算命令データレベル並列性 ベクトル演算命令データレベル並列性 コンパイラ最適化機能の強化 並列化 データレベル並列処理 データレベル並列性 SIMD 演算 空間的並列性 ベクトル演算時間的並列性 命令レベル並列処理命令レベル並列性 スレッド / タスクレベル並列処理粗粒度並列性 マルチスレッディング マルチコア / メニーコア カスタム化 ASIP( 特定用途向けプロセッサ ) 特徴的 固定的処理 構成可能プロセッサ 再構成可能プロセッサ ハードウェア処理特徴的 固定的処理 布線論理 再構成可能ハードウェア 23

24 アクセラレータとは? メインプロセッサ 結合路 ( 結合方式は?) アクセラレータ ( 加速方式は?) しかし 並列処理度に比例して増加するメモリアクセス頻度 ( メモリプレッシャー 要求メモリバンド幅 ) にどう対処するか? コア内はデータレベル並列性を活用したカスタム化 または 汎用性を重視してデータレベル並列処理 (SIMD 演算 ) のみ 上記と直交する形でマルチコア化 24

25 アクセラレータの課題 ~ 増大する要求メモリバンド幅にどう対応するか?~ 従来のアクセラレータの場合 (SIMD 演算 ベクトル演算 ) 大規模データパス (LSRDP) の場合 25

26 大規模再構成可能データパス (LSRDP: Large Scale Reconfigurable Data Path) 汎用プロセッサ (General Purpose Processor) バス FPU FPU... FPU FPU FPU ORN : : : : FPU LSRDP... FPU FPU FPU ORN... FPU FPU FPU SB : : :... : SMAC 多数の演算器 (FPU: Floating- Point Unit) とそれらを相互接続する網 (ORN: Operand Routing Network) を搭載し FPU で行う演算内容 ORN 上の FPU 間接続関係を再構成可能としたデータパス データレベル並列性とデータ依存関係を同時に活用することにより 要求メモリバンド幅を抑えつつ高い演算性能を実現! 主記憶 26

27 アプリ例 :1 次元時間発展熱伝導方程式 LSRDP:32 入力 16 出力 728 FPUs (add, mul) 364 即値 [ ] [ ] ), ( ), ( * ), ( * ) ( ), ( 2 ), ( ), ( * ), ( ), ( j i j i j i j i j i j i j i j i t x T t x T B t x T D x t x T t x T t x T A t x T t x T = Δ + + =

28 アプリ例 :1 次元時間発展熱伝導方程式 正規化した実行時間 (3.2GHz SimpleScalar の実行時間を 1) 主記憶メモリバンド幅 [GB/sec] M:26 20=520 L: 70 32=2240

29 アプリ例 : 二電子積分計算正規化した実行時間 (3.2GHz SimpleScalar の実行時間を 1) 主記憶メモリバンド幅 [GB/sec] L: 70 32=2240

30 アクセラレータ & 採用システム実例一覧 メインプロセッサ 結合方式 アクセラレータ ( 加速方式 ) 採用システム メインプロセッサ 結合方式 アクセラレータ 加速方式 IBM Roadrunner BladeCenter LS21 ボード間 : デュアル ギガ (Opteron DC 1.8GHz 2) ビット イーサネット BladeCenter QS22 (PowerXCell 8i 3.2GHz 2) 東工大 TSUBAME Opteron DC 2.4GHz ボード間 :PCI X ClearSpeed Advance CSX600 PCI X Board DELL Precision T7400 Core2 Q コア 2.5GHz チップ間 :PCI Express Nvidia GeForce GHz GRAPE 汎用プロセッサ チップ チップ間 : 共有バス 重力計算専用プロセッサ GRAPE 九州大学 EHPC/Eric SH 4 チップ間 :SH 4バス 二電子積分計算専用プ ロセッサEric 4 way SIMD 8 way マルチコア 96 way SIMD 8 way SIMD 16 way マルチコア 並列演算パイプライン 初期積分計算専用コア 1+ 漸化計算専用コア 4 CRAY XD1 Opteron チップ間 : FPGA ハードウェア処理 CREST SFQ RDP 汎用プロセッサ チップ チップ間 : 共有バス 九州大学 LSRDP( 再構成可能大規模データパス 80GHz)MCM 4 NEC SX 9 スカラ ユニット チップ内 : ベクトル パイプライン 8 IBM CELL/B.E. PowerPC 4GHz チップ内 : 共有リングバス SPE 4GHz 8 (EIB) 2 次元 FPU アレイ (1024FPU/MCM) 並列ベクトル処理 4 Way SIMD IPFLEX DAPDNA II DAP 166MHz チップ内 : 共有バス DNA 166MHz 2 次元 ALUアレイ (168ALU) TI OMAP 3530 ARM Coretex A8 チップ内 : 共有バス TMS320C64x DSP Core VLIW DSP 30

31 CREST SFQ RDP 4.2 K 2TB memory module (FB-DIMM [DDR3@1333MHz, 128GB] 16 modules) CMOS CPU (1chip) ORN FPU... ORN : : : : SFQ 0.5um process SFQ RDP (32FPU 32chips) (4GFLOPS/FPU)... ORN ORN... SFQ Streaming Buffer (64Kb 2chips) : : : SMAC SMAC SB... : 1024FPU@MCM (34chips) 4MCM SMAC Memory band width per MCM:256GB/s (=16GB/s 16 channels) 31

32 HPC とアクセラレータの今後 HPC マシン スパコン時代 (1980~1990 中 ) 集積回路技術 実装技術技術移転 コンパイラ技術 PCクラスタ時代 (1990 中 ~ 現在 ) 高性能汎用マイクロプロセッサ製品移転 高集積メモリ 高速インターコネクト 2010 年のHPCマシン 高性能 & 低消費電力組込みプロセッサ+アクセラレータ 高速 & 知的インターコネクト テクノロジ ドライバ 汎用大型計算機 PC&LAN 技術フィードバック CE 情報家電 / 携帯情報端末 32

HPCマシンの変遷と 今後の情報基盤センターの役割

HPCマシンの変遷と 今後の情報基盤センターの役割 筑波大学計算科学センターシンポジウム 計算機アーキテクトが考える 次世代スパコン 2006 年 4 月 5 日 村上和彰 九州大学 murakami@cc.kyushu-u.ac.jp 次世代スパコン ~ 達成目標と制約条件の整理 ~ 達成目標 性能目標 (2011 年 ) LINPACK (HPL):10PFlop/s 実アプリケーション :1PFlop/s 成果目標 ( 私見 ) 科学技術計算能力の国際競争力の向上ならびに維持による我が国の科学技術力

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

九州大学学術情報リポジトリ Kyushu University Institutional Repository マッスル サーバー ( 汎用 PC クラスタ + 特定計算向けハードウェア ) の開発 : 分子軌道法を例にして 村上, 和彰九州大学大学院システム情報科学研究院 九州大学情報基盤センタ

九州大学学術情報リポジトリ Kyushu University Institutional Repository マッスル サーバー ( 汎用 PC クラスタ + 特定計算向けハードウェア ) の開発 : 分子軌道法を例にして 村上, 和彰九州大学大学院システム情報科学研究院 九州大学情報基盤センタ 九州大学学術情報リポジトリ Kyushu University Institutional Repository マッスル サーバー ( 汎用 PC クラスタ + 特定計算向けハードウェア ) の開発 : 分子軌道法を例にして 村上, 和彰九州大学大学院システム情報科学研究院 九州大学情報基盤センター http://hdl.handle.net/2324/9106 出版情報 :SLRC プレゼンテーション,

More information

Microsoft PowerPoint - ICD2011UenoSlides.pptx

Microsoft PowerPoint - ICD2011UenoSlides.pptx 画像認識向け 3 次元積層 アクセラレータ アーキテクチャの検討 九州大学大学院システム情報科学府学院 * 九州大学大学院システム情報科学研究院 ** 上野伸也 * Gauthier Lovic Eric** 井上弘士 ** 村上和彰 ** 1 概要 画像認識技術 アクセラレータによる高性能 低消費エネルギー化 アプリケーション分析 アクセラレータ アーキテクチャ検討ア 性能 消費エネルギー評価 まとめ

More information

九州大学学術情報リポジトリ Kyushu University Institutional Repository 将来 (2010 年前後を想定 ) のペタフロップス超級スパコンセンターとの連携について 村上, 和彰九州大学大学院システム情報科学研究院 九州大学情報基盤センター

九州大学学術情報リポジトリ Kyushu University Institutional Repository 将来 (2010 年前後を想定 ) のペタフロップス超級スパコンセンターとの連携について 村上, 和彰九州大学大学院システム情報科学研究院 九州大学情報基盤センター 九州大学学術情報リポジトリ Kyushu University Institutional Repository 将来 (2010 年前後を想定 ) のペタフロップス超級スパコンセンターとの連携について 村上, 和彰九州大学大学院システム情報科学研究院 九州大学情報基盤センター http://hdl.handle.net/2324/9112 出版情報 :SLRC プレゼンテーション, 2005-03-08

More information

supercomputer2010.ppt

supercomputer2010.ppt nanri@cc.kyushu-u.ac.jp 1 !! : 11 12! : nanri@cc.kyushu-u.ac.jp! : Word 2 ! PC GPU) 1997 7 http://wiredvision.jp/news/200806/2008062322.html 3 !! (Cell, GPU )! 4 ! etc...! 5 !! etc. 6 !! 20km 40 km ) 340km

More information

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード]

Microsoft PowerPoint - ARCEMB08HayashiSlides.ppt [互換モード] 演算 / メモリ性能バランスを考慮した CMP 向けオンチップ メモリ貸与法の提案 九州大学 林徹生今里賢一井上弘士村上和彰 1 発表手順 背景 目的 演算 / メモリ性能バランシング 概要 アクセスレイテンシの削減とオーバーヘッド 提案手法の実現方法 着目する命令 (Cell プロセッサへの ) 実装 性能評価 姫野ベンチマーク Susan@MiBench おわりに 2 チップマルチプロセッサ (CMP)

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 計算機アーキテクチャ第 11 回 マルチプロセッサ 本資料は授業用です 無断で転載することを禁じます 名古屋大学 大学院情報科学研究科 准教授加藤真平 デスクトップ ジョブレベル並列性 スーパーコンピュータ 並列処理プログラム プログラムの並列化 for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } プログラムの並列化 x[0] = a[0] + b[0];

More information

資料3 今後のHPC技術に関する研究開発の方向性について(日立製作所提供資料)

資料3 今後のHPC技術に関する研究開発の方向性について(日立製作所提供資料) 今後の HPC 技術に関する 研究開発の方向性について 2012 年 5 月 30 日 ( 株 ) 日立製作所情報 通信システム社 IT プラットフォーム事業本部 Hitachi, Hitachi, Ltd. Ltd. Hitachi 2012. 2012. Ltd. 2012. All rights All rights All rights reserved. reserved. reserved.

More information

GPUコンピューティング講習会パート1

GPUコンピューティング講習会パート1 GPU コンピューティング (CUDA) 講習会 GPU と GPU を用いた計算の概要 丸山直也 スケジュール 13:20-13:50 GPU を用いた計算の概要 担当丸山 13:50-14:30 GPU コンピューティングによる HPC アプリケーションの高速化の事例紹介 担当青木 14:30-14:40 休憩 14:40-17:00 CUDA プログラミングの基礎 担当丸山 TSUBAME の

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか

More information

システムソリューションのご紹介

システムソリューションのご紹介 HP 2 C 製品 :VXPRO/VXSMP サーバ 製品アップデート 製品アップデート VXPRO と VXSMP での製品オプションの追加 8 ポート InfiniBand スイッチ Netlist HyperCloud メモリ VXPRO R2284 GPU サーバ 製品アップデート 8 ポート InfiniBand スイッチ IS5022 8 ポート 40G InfiniBand スイッチ

More information

GPUコンピューティング講習会パート1

GPUコンピューティング講習会パート1 GPU コンピューティング (CUDA) 講習会 GPU と GPU を用いた計算の概要 丸山直也 スケジュール 13:20-13:50 GPU を用いた計算の概要 担当丸山 13:50-14:30 GPU コンピューティングによる HPC アプリケーションの高速化の事例紹介 担当青木 14:30-14:40 休憩 14:40-17:00 CUDA プログラミングの基礎 担当丸山 TSUBAME の

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 加藤真平計算機アーキテクチャ特論 計算機アーキテクチャ特論後半第 1 回最先端アーキテクチャのトレンド 本資料は授業用です 無断で転載することを禁じます 講師加藤真平 前半の趣旨 : 並列化プログラミング for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } シングルプロセッサ マルチプロセッサ x[0]=a[0]+b[0]; x[1]=a[1]+b[1];

More information

スライド 1

スライド 1 計算科学が拓く世界スーパーコンピュータは何故スーパーか 学術情報メディアセンター中島浩 http://www.para.media.kyoto-u.ac.jp/jp/ username=super password=computer 講義の概要 目的 計算科学に不可欠の道具スーパーコンピュータが どういうものか なぜスーパーなのか どう使うとスーパーなのかについて雰囲気をつかむ 内容 スーパーコンピュータの歴史を概観しつつ

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

<4D F736F F F696E74202D E291AB92B B1C3DECADEB2BD2E B8CDD8AB B83685D>

<4D F736F F F696E74202D E291AB92B B1C3DECADEB2BD2E B8CDD8AB B83685D> 超電導でできること デバイス研究開発 ( コンピュータ ルータタ ) 内容 進歩してきた半導体回路の現状 なぜ超電導回路? 超電導コンピュータ ルータの研究 コンピュータの基礎 : 2 進数 普段の我々の生活 0~9 の 10 個で表現 コンピュータ内部の世界 1 と 0 の 2 個で表現 10 進数 2 進数 10 3 の位 10 2 の位 10 1 の位 10 0 の位 2 3 の位 2 2 の位

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx

Microsoft PowerPoint - ARCICD07FukumotoSlides.pptx チップマルチプロセッサにおける データ プリフェッチ効果の分析 福本尚人, 三原智伸九州大学大学院システム情報科学府情報理学専攻 井上弘士, 村上和彰九州大学大学院システム情報科学研究院情報理学部門 2007/6/1 1 発表手順 研究の背景 目的 効果に基づくプリフェッチの分類法 マルチプロセッサ チップマルチプロセッサ 性能モデル式による定性的評価 定量的評価 まとめ 2007/6/1 2 研究の背景

More information

Microsoft PowerPoint - 11Web.pptx

Microsoft PowerPoint - 11Web.pptx 計算機システムの基礎 ( 第 10 回配布 ) 第 7 章 2 節コンピュータの性能の推移 (1) コンピュータの歴史 (2) コンピュータの性能 (3) 集積回路の進歩 (4) アーキテクチャ 第 4 章プロセッサ (1) プロセッサの基本機能 (2) プロセッサの構成回路 (3) コンピュータアーキテクチャ 第 5 章メモリアーキテクチャ 1. コンピュータの世代 計算する機械 解析機関 by

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

スライド 1

スライド 1 入出力,OS, 計算機の高速化 1 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算, 浮動小数点数 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード ストア命令, 分岐命令 計算機はどのように構成されているのか 組合せ回路 論理関数 論理式の標準形, 論理式の簡単化 順序回路 有限状態機械 メインメモリ, キャッシュメモリ 2 目次 プロセッサとメモリ

More information

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美

インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャプラットフォーム リーダーシップ 2000 年 12 月 21 日 第 14 回数値流体力学シンポジウム インテル株式会社 ia 技術本部本部長坂野勝美 インテル アーキテクチャ プロセッサロードマップ 2000 年第 4 四半期 2001 年上半期 サーバ / インテル Pentium III インテル Itanium ワークステーション Xeon プロセッサプロセッサ パフォーマンスインテル

More information

openmp1_Yaguchi_version_170530

openmp1_Yaguchi_version_170530 並列計算とは /OpenMP の初歩 (1) 今 の内容 なぜ並列計算が必要か? スーパーコンピュータの性能動向 1ExaFLOPS 次世代スハ コン 京 1PFLOPS 性能 1TFLOPS 1GFLOPS スカラー機ベクトル機ベクトル並列機並列機 X-MP ncube2 CRAY-1 S-810 SR8000 VPP500 CM-5 ASCI-5 ASCI-4 S3800 T3E-900 SR2201

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 14. さらに勉強するために 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 0 と 1 の世界 これまで何を学んだか 2 進数, 算術演算, 論理演算 計算機はどのように動くのか プロセッサとメモリ 演算命令, ロード

More information

Microsoft PowerPoint - ★13_日立_清水.ppt

Microsoft PowerPoint - ★13_日立_清水.ppt PC クラスタワークショップ in 京都 日立テクニカルコンピューティングクラスタ 2008/7/25 清水正明 日立製作所中央研究所 1 目次 1 2 3 4 日立テクニカルサーバラインナップ SR16000 シリーズ HA8000-tc/RS425 日立自動並列化コンパイラ 2 1 1-1 日立テクニカルサーバの歴史 最大性能 100TF 10TF 30 年間で百万倍以上の向上 (5 年で 10

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

Microsoft PowerPoint - GPGPU実践基礎工学(web).pptx

Microsoft PowerPoint - GPGPU実践基礎工学(web).pptx シングルコアとマルチコア 長岡技術科学大学電気電子情報工学専攻出川智啓 今回の内容 前々回の授業の復習 CPUの進化 半導体集積率の向上 CPUの動作周波数の向上 + 複雑な処理を実行する回路を構成 ( 前々回の授業 ) マルチコア CPU への進化 均一 不均一なプロセッサ コプロセッサ, アクセラレータ 210 コンピュータの歴史 世界初のデジタルコンピュータ 1944 年ハーバードMark I

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 5. 命令セットアーキテクチャ ( 教科書 6.1 節, 6.2 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

11 月発表の Green500 最新結果の顛末 本来は Green500 で 1-4 位独占を実現する目論見であった 7 月の ISC で 計測ルールが v2.0 になることが予告された ( 現行の v1.2 ルールでの計測値改善には注力せず v2.0 対応作業のみ進めていた ) 最後まで v1.

11 月発表の Green500 最新結果の顛末 本来は Green500 で 1-4 位独占を実現する目論見であった 7 月の ISC で 計測ルールが v2.0 になることが予告された ( 現行の v1.2 ルールでの計測値改善には注力せず v2.0 対応作業のみ進めていた ) 最後まで v1. ZettaScaler-1.5 による HPC システム構築と ZettaScaler-2.0 構想 2015 年 12 月 18 日 齊藤元章 ( 株式会社 PEZY Computing/ 株式会社 ExaScaler/UltraMemory 株式会社 ) 11 月発表の Green500 最新結果の顛末 本来は Green500 で 1-4 位独占を実現する目論見であった 7 月の ISC で

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション みんなの ベクトル計算 たけおか @takeoka PC クラスタ コンソーシアム理事でもある 2011/FEB/20 ベクトル計算が新しい と 2008 年末に言いました Intelに入ってる! (2008 年から見た 近未来? ) GPU 計算が新しい (2008 年当時 ) Intel AVX (Advanced Vector Extension) SIMD 命令を進めて ベクトル機構をつける

More information

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック (2009/10/28) 増設メモリ 1. 機能 型名 N8102-356 N8102-357 N8102-358 N8102-359 N8102-360 8GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック 533MHz( 差動 ) 1.5V 型名 N8102-351 N8102-352

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102 (2009/12/08) 増設メモリ 1. 機能 型名 N8102-339 N8102-340 N8102-341 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC3-10600) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

テクニカルガイド 増設メモリ

テクニカルガイド 増設メモリ (2012/09/19) 1. 機能仕様 型番 製品名 備考 N8102-513 32GB ボード N8102-512 16GB ボード N8102-511 8GB ボード (1x8GB/R) N8102-510 4GB ボード (1x4GB/U) N8102-509 2GB ボード DDR3L-1600(PC3L-12800) SDRAM ECC 付 Unbufferred (1x2GB/U) N8102-508

More information

<4D F736F F F696E74202D2091E63489F15F436F6D C982E682E992B48D8291AC92B489B F090CD2888F38DFC E B8CDD8

<4D F736F F F696E74202D2091E63489F15F436F6D C982E682E992B48D8291AC92B489B F090CD2888F38DFC E B8CDD8 Web キャンパス資料 超音波シミュレーションの基礎 ~ 第 4 回 ComWAVEによる超高速超音波解析 ~ 科学システム開発部 Copyright (c)2006 ITOCHU Techno-Solutions Corporation 本日の説明内容 ComWAVEの概要および特徴 GPGPUとは GPGPUによる解析事例 CAE POWER 超音波研究会開催 (10 月 3 日 ) のご紹介

More information

15群(○○○)-8編

15群(○○○)-8編 6 群 ( コンピュータ - 基礎理論とハードウェア ) - 5 編 ( コンピュータアーキテクチャ (II) 先進的 ) 4 章ベクトルコンピュータ 概要 本章の構成 電子情報通信学会 知識ベース 電子情報通信学会 2010 1/(11) 6 群 - 5 編 - 4 章 4-1 マルチメディア処理とベクトルコンピュータ ( 執筆者 : 平澤将一 )[2009 年 1 月受領 ] 4-1-1 ベクトルコンピュータの誕生ベクトルコンピュータは,1

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3 (2010/01/22) 増設メモリ 1. 機能 型名 N8102-361 N8102-362 N8102-363 N8102-364 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC3-10600) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-365 N8102-366 N8102-367 (x1 枚 ) (x1 枚 )

More information

Microsoft Word - HOKUSAI_system_overview_ja.docx

Microsoft Word - HOKUSAI_system_overview_ja.docx HOKUSAI システムの概要 1.1 システム構成 HOKUSAI システムは 超並列演算システム (GWMPC BWMPC) アプリケーション演算サーバ群 ( 大容量メモリ演算サーバ GPU 演算サーバ ) と システムの利用入口となるフロントエンドサーバ 用途の異なる 2 つのストレージ ( オンライン ストレージ 階層型ストレージ ) から構成されるシステムです 図 0-1 システム構成図

More information

hpc141_shirahata.pdf

hpc141_shirahata.pdf GPU アクセラレータと不揮発性メモリ を考慮した I/O 性能の予備評価 白幡晃一 1,2 佐藤仁 1,2 松岡聡 1 1: 東京工業大学 2: JST CREST 1 GPU と不揮発性メモリを用いた 大規模データ処理 大規模データ処理 センサーネットワーク 遺伝子情報 SNS など ペタ ヨッタバイト級 高速処理が必要 スーパーコンピュータ上での大規模データ処理 GPU 高性能 高バンド幅 例

More information

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8

増設メモリ 1. 機能仕様 型番 製品名 備考 N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N GB 増設メモリボード DDR3-1333(PC ) SDRAM, Unbuffered N8 (2011/06/17) 増設メモリ 1. 機能仕様 型番 製品名 備考 N8102-342 1GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-343 2GB 増設メモリボード DDR3-1333(PC3-10600) SDRAM, Unbuffered N8102-344 4GB 増設メモリボード DDR3-1333(PC3-10600)

More information

Microsoft PowerPoint - CCS学際共同boku-08b.ppt

Microsoft PowerPoint - CCS学際共同boku-08b.ppt マルチコア / マルチソケットノードに おけるメモリ性能のインパクト 研究代表者朴泰祐筑波大学システム情報工学研究科 taisuke@cs.tsukuba.ac.jp アウトライン 近年の高性能 PC クラスタの傾向と問題 multi-core/multi-socket ノードとメモリ性能 メモリバンド幅に着目した性能測定 multi-link network 性能評価 まとめ 近年の高性能 PC

More information

VXPRO R1400® ご提案資料

VXPRO R1400® ご提案資料 Intel Core i7 プロセッサ 920 Preliminary Performance Report ノード性能評価 ノード性能の評価 NAS Parallel Benchmark Class B OpenMP 版での性能評価 実行スレッド数を 4 で固定 ( デュアルソケットでは各プロセッサに 2 スレッド ) 全て 2.66GHz のコアとなるため コアあたりのピーク性能は同じ 評価システム

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

本文ALL.indd

本文ALL.indd Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法河辺峻田口成美古谷英祐 Intel Xeon プロセッサにおける Cache Coherency 時間の性能測定方法 Performance Measurement Method of Cache Coherency Effects on an Intel Xeon Processor System 河辺峻田口成美古谷英祐

More information

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3 (2012/04/06) 増設メモリ 1. 機能仕様 型番製品名備考 N8102-435 8GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N8102-468 4GB 増設メモリボード DDR3L-1600(PC3L-12800) SDRAM ECC 付 Registered, 2GBx2

More information

工学院大学建築系学科近藤研究室2000年度卒業論文梗概

工学院大学建築系学科近藤研究室2000年度卒業論文梗概 耐災害性の高い通信システムにおけるサーバ計算機の性能と消費電力に関する考察 耐障害性, 消費電力, 低消費電力サーバ 山口実靖 *. はじめに 性能と表皮電力の関係について調査し, 考察を行う 災害においては, 減災活動が極めて重要である すなわち 災害が発生した後に適切に災害に対処することにより, その被害を大きく軽減できる. 適切な災害対策を行うには災害対策を行う拠点が正常に運営されていることが必要不可欠であり,

More information

Microsoft PowerPoint - SWoPP06HayashiSlides.ppt

Microsoft PowerPoint - SWoPP06HayashiSlides.ppt Cell プロセッサへの分子軌道法 プログラムの実装と評価 林徹生 九州大学大学院システム情報科学府九州大学情報基盤センター 本田宏明稲富雄一井上弘士村上和彰九州大学大学院システム情報科学研究院 背景と目的 Cell アーキテクチャ 構成と特徴 分子軌道法プログラム アルゴリズムと特徴 タスク分配法 ( 実装方法 ) 粒度と割り当て 同期方法 評価 評価対象モデル 評価結果 おわりに 発表手順 背景と目的

More information

テクニカルガイド 増設メモリ

テクニカルガイド 増設メモリ (2012/07/26) 増設メモリ 1. 機能仕様 型番 製品名 備考 N8102-508 32GB 増設メモリボード DDR3L-1066(PC3L-8500) SDRAM ECC 付 Registered (1x32GB/R) N8102-507 16GB 増設メモリボード (1x16GB/R) N8102-506 8GB 増設メモリボード (1x8GB/R) N8102-505 4GB 増設メモリボード

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N N N810 (2008/11/14) 増設メモリ 1. 機能 型名 N8102-303 N8102-304 N8102-305 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400) 動作クロック 400MHz( 差動 ) 110Ge, 110Ge-S 型名 N8102-300 N8102-301 N8102-302 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR2-800(PC2-6400)

More information

スライド 1

スライド 1 Nehalem 新マイクロアーキテクチャ スケーラブルシステムズ株式会社 はじめに 現在も続く x86 マイクロプロセッサマーケットでの競合において Intel と AMD という 2 つの会社は 常に新しい技術 製品を提供し マーケットでのシェアの獲得を目指しています この技術開発と製品開発では この 2 社はある時は 他社に対して優位な技術を開発し 製品面での優位性を示すことに成功してきましたが

More information

Microsoft Word ●IntelクアッドコアCPUでのベンチマーク_吉岡_ _更新__ doc

Microsoft Word ●IntelクアッドコアCPUでのベンチマーク_吉岡_ _更新__ doc 2.3. アプリ性能 2.3.1. Intel クアッドコア CPU でのベンチマーク 東京海洋大学吉岡諭 1. はじめにこの数年でマルチコア CPU の普及が進んできた x86 系の CPU でも Intel と AD がデュアルコア クアッドコアの CPU を次々と市場に送り出していて それらが PC クラスタの CPU として採用され HPC に活用されている ここでは Intel クアッドコア

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ 中央処理装置 Rev. 2019.01.16 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

コンピュータ工学Ⅰ

コンピュータ工学Ⅰ コンピュータ工学 Ⅰ Rev. 2018.01.20 コンピュータの基本構成と CPU 内容 ➊ CPUの構成要素 ➋ 命令サイクル ➌ アセンブリ言語 ➍ アドレッシング方式 ➎ CPUの高速化 ➏ CPUの性能評価 コンピュータの構成装置 中央処理装置 (CPU) 主記憶装置から命令を読み込み 実行を行う 主記憶装置 CPU で実行するプログラム ( 命令の集合 ) やデータを記憶する 補助記憶装置

More information

1 GPU GPGPU GPU CPU 2 GPU 2007 NVIDIA GPGPU CUDA[3] GPGPU CUDA GPGPU CUDA GPGPU GPU GPU GPU Graphics Processing Unit LSI LSI CPU ( ) DRAM GPU LSI GPU

1 GPU GPGPU GPU CPU 2 GPU 2007 NVIDIA GPGPU CUDA[3] GPGPU CUDA GPGPU CUDA GPGPU GPU GPU GPU Graphics Processing Unit LSI LSI CPU ( ) DRAM GPU LSI GPU GPGPU (I) GPU GPGPU 1 GPU(Graphics Processing Unit) GPU GPGPU(General-Purpose computing on GPUs) GPU GPGPU GPU ( PC ) PC PC GPU PC PC GPU GPU 2008 TSUBAME NVIDIA GPU(Tesla S1070) TOP500 29 [1] 2009 AMD

More information

増設メモリ (2006/11/20)

増設メモリ (2006/11/20) (2006/11/20) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

RICCについて

RICCについて RICC 1 RICC 2 RICC 3 RICC GPU 1039Nodes 8312core) 93.0GFLOPS, 12GB(mem), 500GB (hdd) DDR IB!1 PC100Nodes(800core) 9.3 GPGPU 93.3TFLOPS HPSS (4PB) (550TB) 0.24 512GB 1500GB MDGRAPE33TFLOPS MDGRAPE-3 64

More information

Microsoft PowerPoint 知る集い(京都)最終.ppt

Microsoft PowerPoint 知る集い(京都)最終.ppt 次世代スパコンについて知る集い 配布資料 世界最高性能を目指すシステム開発について ー次世代スパコンのシステム構成と施設の概要 - 平成 22 年 1 月 28 日 理化学研究所次世代スーパーコンピュータ開発実施本部横川三津夫 高性能かつ大規模システムの課題と対応 演算性能の向上 CPU のマルチコア化,SIMD( ベクトル化 ) 機構 主記憶へのアクセス頻度の削減 - CPU 性能とメモリアクセス性能のギャップ

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

車載マイコンの動向

車載マイコンの動向 車載マイコンの現状について ~2008 年度サーベイ ~ 2008 年 11 月 28 日 ( 財 ) 九州先端科学技術研究所 車のエレクトロニクス化 トヨタ自動車 (1996 - *1936) 燃費 : 7 km/l トヨタ自動車 (Prius HEV 2006) 燃費 :35.5 km/l センサー : 無 ECUs: 無 センサー : 約 100 ECUs: 約 70 ECU: Electronic

More information

Microsoft PowerPoint - NxLec ppt

Microsoft PowerPoint - NxLec ppt 2009 年後学期 プロセッサのデータパス ( シングル サイクル ) 計算機アーキテクチャ第二 (O) 5. パイプライン処理 大学院情報理工学研究科計算工学専攻吉瀬謙二 kise _at_ cs.titech.ac.jp S321 講義室月曜日 5,6 時限 13:20-14:50 1 プロセッサのデータパス ( パイプライン処理 ) ハザード (hazard) Clock 1: 命令を適切なサイクルで実行できないような状況が存在する.

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 OpenCV による基礎的な例 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2007.07.03 リアルタイム処理と高速化 リアルタイム = 高速 ではない 目標となる時間制約が定められているのがリアルタイム処理である.34 ms かかった処理が 33 ms に縮んだだけでも, それによって与えられた時間制約が満たされるのであれば,

More information

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops ボードの概要 Control processor (FPGA by Altera) GRAPE-MP chip[nextreme

More information

Microsoft PowerPoint - arc12

Microsoft PowerPoint - arc12 工学部講義 (12) 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに コンピュータの歴史 デバイス技術とコンピュータ はじめに 本講義の目的 の基本を学ぶ 時間 場所 火曜日 8:40-10:10 工学部 2 号館 241 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/

More information

九州大学がスーパーコンピュータ「高性能アプリケーションサーバシステム」の本格稼働を開始

九州大学がスーパーコンピュータ「高性能アプリケーションサーバシステム」の本格稼働を開始 2014 年 1 月 31 日 国立大学法人九州大学 株式会社日立製作所 九州大学がスーパーコンピュータ 高性能アプリケーションサーバシステム の本格稼働を開始 日立のテクニカルサーバ HA8000-tc/HT210 などを採用 従来システム比で 約 28 倍の性能を実現し 1TFLOPS あたりの消費電力は約 17 分の 1 に低減 九州大学情報基盤研究開発センター ( センター長 : 青柳睦 /

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 総務省 ICTスキル総合習得教材 概要版 eラーニング用 [ コース2] データ蓄積 2-5: 多様化が進展するクラウドサービス [ コース1] データ収集 [ コース2] データ蓄積 [ コース3] データ分析 [ コース4] データ利活用 1 2 3 4 5 座学本講座の学習内容 (2-5: 多様化が進展するクラウドサービス ) 講座概要 近年 注目されているクラウドの関連技術を紹介します PCやサーバを構成するパーツを紹介後

More information

Microsoft Word - SSTC_Intel_Core.doc

Microsoft Word - SSTC_Intel_Core.doc 技術メモ インテル Core マイクロアーキテクチャ スケーラブルシステムズ株式会社 技術メモ インテル Core マイクロアーキテクチャ 1. はじめに... 2 2. Intel Core マイクロアーキテクチャ... 3 3. マイクロプロセッサの性能を左右するものは?... 5 4. Intel Core マイクロアーキテクチャに投入された主要技術... 6 Advanced Digital

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 6 週演算アーキテクチャ ( 続き ) ノイマン型コンピュータ 命令とは 命令の使い方 2013 年 10 月 30 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

最新の並列計算事情とCAE

最新の並列計算事情とCAE 1 大島聡史 ( 東京大学情報基盤センター助教 / 並列計算分科会主査 ) 最新の並列計算事情と CAE アウトライン 最新の並列計算機事情と CAE 世界一の性能を達成した 京 について マルチコア メニーコア GPU クラスタ 最新の並列計算事情と CAE MPI OpenMP CUDA OpenCL etc. 京 については 仕分けやら予算やら計画やらの面で問題視する意見もあるかと思いますが

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1066(PC3-8500) SDRAM-DIMM, Registered,ECC 動作クロック 53

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1066(PC3-8500) SDRAM-DIMM, Registered,ECC 動作クロック 53 (2009/07/24) 増設メモリ 1. 機能 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1066(PC3-8500) SDRAM-DIMM, Registered,ECC 動作クロック 533MHz( 差動 ) 1.5V GT120a,T120a-E,T120a-M

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Dell PowerEdge C6320 スケーラブルサーバアプライアンス 仮想化アプライアンスサーバ 最新のプロセッサを搭載したサーバプラットフォーム vsmp Foundation によるサーバ仮想化と統合化の適用 システムはセットアップを完了した状態でご提供 基本構成ではバックプレーン用のスイッチなどが不要 各ノード間を直接接続 冗長性の高いバックプレーン構成 利用するサーバプラットフォームは

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

6. パイプライン制御

6. パイプライン制御 6. パイプライン制御 パイプライン (Pipelining) 命令のスループットをあげて性能を向上する Program eection order Time (in instrctions) lw $, ($) fetch 2 4 6 8 2 4 6 8 Data access lw $2, 2($) 8 ns fetch Data access lw $3, 3($) Program eection

More information

2.1 インテル マイクロアーキテクチャー Haswell インテル マイクロアーキテクチャー Haswell は インテル マイクロアーキテクチャー Sandy Bridge とインテル マイクロアーキテクチャー Ivy Bridge の成功を受けて開発された この新しいマイクロアーキテクチャーの

2.1 インテル マイクロアーキテクチャー Haswell インテル マイクロアーキテクチャー Haswell は インテル マイクロアーキテクチャー Sandy Bridge とインテル マイクロアーキテクチャー Ivy Bridge の成功を受けて開発された この新しいマイクロアーキテクチャーの 2 章インテル 64 プロセッサー アーキテクチャーと IA-32 プロセッサー アーキテクチャー 本章では 最新世代のインテル 64 プロセッサーと IA-32 プロセッサー ( インテル マイクロアーキテクチャー Haswell インテル マイクロアーキテクチャー Ivy Bridge インテル マイクロアーキテクチャー Sandy Bridge ベースのプロセッサーと インテル Core マイクロアーキテクチャー

More information

修士論文

修士論文 AVX を用いた倍々精度疎行列ベクトル積の高速化 菱沼利彰 1 藤井昭宏 1 田中輝雄 1 長谷川秀彦 2 1 工学院大学 2 筑波大学 1 目次 1. 研究背景 目的 2. 実装, 実験環境 3. 実験 - 倍々精度ベクトル演算 - 4. 実験 - 倍々精度疎行列ベクトル積 - 5. まとめ 多倍長精度計算フォーラム 2 目次 1. 研究背景 目的 2. 実装, 実験環境 3. 実験 - 倍々精度ベクトル演算

More information

共通マイクロアーキテクチャ 富士通はプロセッサー設計に共通マイクロアーキテクチャを導入し メインフレーム UNIX サーバーおよびスーパーコンピューターそれぞれの要件を満たすプロセッサーの継続的かつ効率的な開発を容易にしている また この取り組みにより それぞれの固有要件を共通機能として取り込むこと

共通マイクロアーキテクチャ 富士通はプロセッサー設計に共通マイクロアーキテクチャを導入し メインフレーム UNIX サーバーおよびスーパーコンピューターそれぞれの要件を満たすプロセッサーの継続的かつ効率的な開発を容易にしている また この取り組みにより それぞれの固有要件を共通機能として取り込むこと IDC ホワイトペーパー : メインフレーム UNIX サーバー スーパーコンピューターを統合開発 : 共通マイクロプロセッサーアーキテクチャ 共通マイクロアーキテクチャ 富士通はプロセッサー設計に共通マイクロアーキテクチャを導入し メインフレーム UNIX サーバーおよびスーパーコンピューターそれぞれの要件を満たすプロセッサーの継続的かつ効率的な開発を容易にしている また この取り組みにより それぞれの固有要件を共通機能として取り込むことを可能としている

More information

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史

科学技術振興調整費 中間成果報告書 若手任期付研究員支援 組込みアーキテクチャ協調型実時間 OS 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 科学技術振興調整費 中間成果報告書 若手任期付研究員支援 研究期間 : 平成 13 年度 ~ 平成 15 年 6 月 北陸先端科学技術大学院大学田中清史 研究計画の概要 p.1 研究成果の概要 p.3 研究成果の詳細報告 1. 動的スケジューリング方式に関する研究 p.5 2. μitron 仕様の API の実装 p.7 3. 試作 LSI における OS 機能の検証 p.9 引用文献 成果の発表

More information

untitled

untitled 2005 2 1 105-0004 5-34-3 Tel: 03-3431-4002 Fax: 03-3431-4044 1 SRL/ISTEC 1 1 SFQ SFQ SFQ 2004 9 4 SFQ SFQ / LSI 269 230 230 230 269 230 SFQ SFQ 2005 2 ISTEC 2005 All rights reserved. - 1 - 2005 2 1 105-0004

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

Microsoft PowerPoint - ICD-ARCパネル

Microsoft PowerPoint - ICD-ARCパネル ICD-ARC 共 催 研 究 会 パネル 討 論 新 時 代 におけるマルチコア 戦 略 ( 株 ) 東 芝 セミコンダクター 社 2008 年 5 月 13 日 斎 藤 光 男 プロセッサ 周 波 数 の 年 代 別 の 伸 び 10000 CISCプロセッサの 時 代 アウトオブオーダーの 導 入 3.2GHz P4 3.0GHz P4 3.8GHz P4 3.4GHz 3.6GHz P4 P4

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 高性能計算基盤 第 7 回 CA1003: 主記憶共有型システム http://arch.naist.jp/htdocs-arch3/ppt/ca1003/ca1003j.pdf Copyright 2019 奈良先端大中島康彦 1 2 3 4 マルチスレッディングとマルチコア 5 6 7 主記憶空間の数が 複数 か 1 つ か 8 ただしプログラムは容易 9 1 つの主記憶空間を共有する場合 10

More information

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt

Microsoft PowerPoint - No15›¼‚z‰L›¯.ppt メモリ アーキテクチャ 3 仮想記憶 計算機アーキテクチャ ( 第 15 回目 ) 今井慈郎 (imai@eng.kagawa-u.ac.jp) 仮想記憶とは コンピュータ上に実装されている主記憶よりも大きな記憶領域を仮想的に提供する仕組み メモリ空間の一部をハードディスク装置等の大容量外部記憶にマッピングし実装したメモリ量以上のメモリ空間を利用できる環境をユーザに提供 実装したメモリ : 実記憶

More information

目的 システムLSIの電力性能比 ( 性能 / 電力 ) 向上 背景 : 通勤電車のひとコマ 一昔前 今は 社会全体が要求する情報処理能力の飛躍的増大 CREST ULP 領域公開シンポジウム ( 東京大学中村宏 ) 2012/11/30 2

目的 システムLSIの電力性能比 ( 性能 / 電力 ) 向上 背景 : 通勤電車のひとコマ 一昔前 今は 社会全体が要求する情報処理能力の飛躍的増大 CREST ULP 領域公開シンポジウム ( 東京大学中村宏 ) 2012/11/30 2 回路 ~ アーキテクチャ ~ システムソフトウェア協調で実現する低消費電力化技術 プロジェクト名 : 革新的電源制御による次世代超低電力高性能システム LSI の研究 中村宏 ( 東京大学 ) 宇佐美公良 ( 芝浦工業大学 ) 天野英晴 ( 慶應義塾大学 ) 近藤正章 ( 電気通信大学 ) 並木美太郎 ( 東京農工大学 ) 黒田忠広 ( 慶應義塾大学 ) 目的 システムLSIの電力性能比 ( 性能

More information

Microsoft Word - Quadro Mシリーズ_テクニカルガイド_R1-2.doc

Microsoft Word - Quadro Mシリーズ_テクニカルガイド_R1-2.doc (2016/01/28) グラフィックス アクセラレータ Quadro M シリーズ - 1 - 1. 機能仕様 Quadro M5000/M4000 型名 N8005-FS61/122 N8005- FS60/121 製品名 Quadro M5000 Quadro M4000 GPU NVIDIA Quadro M5000 NVIDIA Quadro M4000 メモリ 8GB 256bit GDDR5

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション vsmp Foundation スケーラブル SMP システム スケーラブル SMP システム 製品コンセプト 2U サイズの 8 ソケット SMP サーバ コンパクトな筐体に多くのコアとメモリを実装し SMP システムとして利用可能 スイッチなし構成でのシステム構築によりラックスペースを無駄にしない構成 将来的な拡張性を保証 8 ソケット以上への拡張も可能 2 システム構成例 ベースシステム 2U

More information

Microsoft PowerPoint - 1st

Microsoft PowerPoint - 1st コンピュータ概論第 1 回 授業導入 授業導入 コンピュータとは? Computer = 計算機 compute: 動詞 計算する computer: 計算するモノ 算盤 ( そろばん ) 計算尺 電卓 コンピュータ コンピュータ 単なる計算の道具ではない 計算を行う 算盤, 計算尺, 電卓, コンピュータ 計算を高速に行う 電卓, コンピュータ 大量のデータを記憶, 処理する コンピュータ さまざまなデータを処理する

More information

スライド 1

スライド 1 Shibaura Institute of Technology EDS Fair 2011 国際学会の技術トレンドを読み解く ~ 過去 現在 未来 ~ 低消費電力設計 芝浦工業大学工学部情報工学科 宇佐美公良 低消費電力技術の論文発表件数の推移 論文発表件数 20 18 16 14 12 10 8 6 4 2 0 2007 2008 2009 2010 2011 年 ASP-DAC DAC ICCAD

More information

スライド 1

スライド 1 計算科学が拓く世界 スーパーコンピュータは 何故スーパーか 学術情報メディアセンター 中島浩 http://www.pr.medi.kyoto-u.c.jp/jp/ usermesuper psswordcomputer 講義の概要 目的 計算科学に不可欠の道具スーパーコンピュータが どうスーパーなのか どういうものか なぜスーパーなのか どう使うとスーパーなのかについて雰囲気をつかむ 内容 スーパーコンピュータの歴史を概観しつつ

More information