TN-46-13

Size: px
Start display at page:

Download "TN-46-13"

Transcription

1 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は 他のベンダーやJEDEC と比べて優れたものになっています このテクニカルノートで考察する速度グレードおよびタイミングパラメータは Micron の 512M ビット DDR SDRAM デバイス (MT46V64M8) を例に挙げます このデバイスの詳細については をご覧ください DDR SDRAM は 2000 年初頭に発表されました 当時の基本的な速度グレードは DDR200 と DDR266 の 2 つでした これらの初期の速度グレードはそれぞれ 100 MHz と 133 MHz のクロックレートで動作し データ転送速度は 1 ピンあたり 200M ビット / 秒および 266M ビット / 秒 ( ピンあたり毎秒 M ビット ) です Micron は当時 柔軟性を念頭に置いてこれらの初期デバイスを設計し 将来 高速グレードとの互換性を維持することを視野に入れてデータシートを作成してきた経緯があります その予測どおり DDR SDRAM の基本速度グレードは DDR333 さらに DDR400 に移行しています DDR333 の場合 データ転送速度は 1 ピンあたり 333 M ビット / 秒 クロックレートは 167 MHz です 一方 DDR400 はクロックレート 200 MHz で動作します これは初期の DDR200 の速度グレードの 2 倍です 入念な設計が功を奏し Micronの高速グレードのデバイスは 初期の低速グレードのデバイスに対して完全な互換性を維持しています 実際 高速グレードの部品にも低速グレードの部品と同じ設計が採用されていることが互換性の維持に貢献しています ただし テスト環境では 高速動作と厳しい制限が課せられています Micron の場合 容量や構成に関係なく 速度グレードが同じであればタイミングはほぼ同じです ただし t RFC t XSNR t REFI t REFC など リフレッシュ関連のタイミングパラメータにはいくつかの例外があります これらのパラメータは 容量の違いにより行アドレスが余分に必要になったり逆に少なくて済んだりするので 影響を受けます しかし ほとんどのタイミングパラメータでフルクロックサイクルが必要となるので JEDEC では をクロックで調整しています たとえば DDR200 デバイスの JEDEC 定義の t RCD (Row-to-Column delay : 行と列間の遅延 ) は 20ns で DDR333 デバイスの場合は 18ns です t RCD 時間が短縮すると 性能は向上します ( 図 1 を参照 ) 速度グレードが DDR200 の場合 t RCD 時間に 2 クロックサイクルしかかからなくても 合計時間は 速度グレード DDR333 の 3 クロックサイクルの時間よりも長くなります TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved. 本書で説明する製品および仕様は評価および参考のみを目的としており Micron によって予告なく変更されることがあります Micron は 製品が Micron の製品データシートの仕様を満たしていることのみを保証します 本書で提供されるすべての情報は 現状のまま 提供され いかなる保証も行われません

2 図 1 : JEDEC 定義による DDR200 および DDR333 の t RCD 必要クロックサイクルの計算 t RCD タイミングは 仕様書では時間で指定されていますが 測定ではフルクロックサイクル数を使用します t RCD 時間の実測値が仕様で指定されている t RCD 時間を達成しているかどうかを測定するには t RCD ( 仕様書で指定されている値 ) をクロックサイクル時間の実測値で除算します これにより 必要クロックサイクル数が算出されます 算出して必要クロックサイクル数が整数でない場合は 小数点第 1 位で四捨五入にして整数にします たとえば デバイス速度が DDR266 の場合 指定された t RCD 時間である 20ns を達成するには 3 クロックサイクルが必要です (20ns / 7.5ns = 2.7 クロック ) 式 1 はその計算式です (EQ 1) 前述の例では t RCD 時間の実測値は 22.5ns です (22.5ns = 3 x 7.5ns) DDR333 のクロック周波数の場合 18ns という t RCD を達成するには 3 クロックサイクル (18ns / 6ns = 3 クロック ) が必要です また DDR266 のクロック周波数の場合も 18ns という t RCD を達成するには やはり DDR333 と同じように 3 クロックサイクル すなわち 22.5ns (18ns / 7.5ns = 2.4 クロック ) が必要です 3 ページの図 2 を見る限り DDR333 速度グレードについて JEDEC が定義している t RCD には完全な互換性はありません JEDED 定義による DDR333 速度グレードでは 両方の DDR266 定義速度 (22.5ns と 15ns) とも 3 フルクロックサイクル (22.5ns) が必要であることに注意してください TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

3 図 2: JEDEC 定義による t RCD の実測値を使用した t RCD 時間 DDR200 ( t RCD = 20ns) CK# CK 0ns 10ns 20ns 30ns ACT READ t CK = 10ns t RCD () = t RCD (JEDEC) = 2 t CK = 20ns DDR266 ( t RCD = 22.5ns) CK# 0ns 7.5ns 15ns 22.5ns 30ns CK ACT READ t CK = 7.5ns t RCD () = t RCD (JEDEC) = 3 t CK = 22.5ns DDR266 ( t RCD = 15ns) CK# 0ns 7.5ns 15ns 22.5ns 30ns CK ACT READ t CK = 7.5ns t RCD () = 2 t CK = 15ns t RCD (JEDEC) = 3 t CK = 22.5ns JEDECDDR333 3 DDR333 ( t RCD = 18ns) CK# 0ns 6ns 12ns 18n 24ns 30ns CK ACT READ t CK = 6ns t RCD () = t RCD (JEDEC) = 3 t CK = 18ns TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

4 表 1: JEDEC 定義による t RCD と Micron の互換 t RCD の比較 DDR200 DDR266 DDR333 DDR400 Micron の速度グレード すべて Z -75E -6T -5B Micron 20ns 20ns 20ns 15ns 15ns 15ns JEDEC 20ns 20ns 20ns 15ns 18ns 15ns 表 1 に JEDEC 定義の速度グレードと Micron の対応速度グレードの t RCD の比較を示します この表は DDR333 速度グレードの JEDEC 定義による t RCD 値が DDR266 の JEDEC 定義のどの t RCD 値とも互換性がないことを示しています つまり t RCD 値 18ns を DDR333 より低速な DDR266 の低速サイクルタイム 7.5nm で除算すると 算出された値が2.4クロックと同じになります さらに 2.4クロックは3クロックまで繰り上げなければなりません すると 22.5ns という t RCD 時間の実測値と同じになります それとは対照的に Micron の DDR333 部品では t RCD = 15ns と規定しています これは JEDEC の要求仕様よりも 3ns 高速ですが これが trcd 値と -75E の速度 (15ns/ 7.5ns = 2 クロックサイクル ) を含むすべての低速グレードとの 100% 互換性を維持する要素となっています 通常 ACタイミングパラメータの値は 小さいほど優れていることになります たとえば DDR333 デバイスのアドレスセットアップ時間 ( t IS FAST ) は 750ps で DDR266 デバイスの場合は 900ps です パラメータを厳しくすることで 高速部品と低速部品の互換性を維持できます 表 2: Micron 速度グレードの互換性次の表の (xx-yy-zz) 形式では xx はクロックサイクル単位での CAS レイテンシー yy はクロックサイクル単位での t RCD 時間 zz はクロックサイクル単位での t RP 時間です DDR 速度 / タイプ PC3200 (3-3-3) PC2700 ( ) PC2100 (2-2-2) PC2100 (2-3-3) PC2100 ( ) PC1600 (2-2-2) -5B (DDR400) 互換 互換 互換 互換 互換 互換 -6/6T (DDR333) 互換 互換 互換 互換 互換 -75E (DDR266) 互換 互換 互換 互換 -75Z (DDR266) 互換 互換 互換 -75 (DDR266 および DDR200) 互換 互換 -5B -6/6T -75E -75Z CAS レイテンシー 速度グレードを比較する際に検討しなければならない最も一般的なパラメータは CAS レイテンシー (CL) Row-to-Column 遅延 (RAS 発行コマンドと CAS 発行コマンド間の遅延 ) ( t RCD) および行プリチャージ時間 ( t RP) です 表 2 に これらのパラメータをデバイス別に示します CL は 列アドレスが有効になってから DRAM の最初の有効データ読み出しまでのクロックサイクルの数です 周波数が同じであれば クロックサイクル数が小さいほど 性能は高くなります 各 CL は 最小クロックサイクル時間と最大クロック時間を使用して定義されます これは CL = 2.5 t CK (MIN) = 6ns t CK (MAX) = 13ns と規定されている部品の場合 そのデバイスは 167 MHz ~ 77 MHz (CAS レイテンシーが 2.5 に設定されている場合 ) のクロック周波数 ( 一定 ) で動作可能なことを意味します 5 ページの図 3 に CL = 2 CL = 2.5 および CL = 3 クロックの場合の CAS レイテンシーの定義を示します TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

5 図 3 : CL = 2 CL = 2.5 および CL = 3 の場合のタイミング図 n n n n 一見 CL = 3 は CL = 2 よりも低速に見えます クロックサイクル時間の違いによってそのような場合もあります しかし CL = 3 はより高速なクロックサイクル時間での動作が可能なため CAS レイテンシー値は高くても 実際は高性能です たとえば DDR200 で CL = 2 の場合 READ コマンドを発行してから最初の有効データが出力されるまでの合計時間が 20ns であれば 10ns クロックサイクル 2 つ分と同じです 一方 DDR400 で CL = 3 の場合 READ コマンドを発行してから有効データが出力されるまでの合計時間が 15ns であれば 5ns クロックサイクル 3 つ分と同じです TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

6 Row-to-Column 遅延 t RCD は 所定の行またはバンクをアクティブにして ( すなわち開いて ) から最初の READ コマンドまたは WRITE コマンドが同じバンクに対して発行されるまでの経過時間を示すパラメータです 値は小さいほうが高性能ですが 100% 互換性を維持するには クロック周波数によって t RCD を均一に保つ必要があります ( 次の図 4 を参照 ) 図 4 : 100% 互換性を維持するための t RCD の範囲 ( t RCD および t RP の目標値は 15ns) 行プリチャージ時間 t RP は 開いている行を閉じるときに要する時間です 開いている行を閉じるには アドレス指定によって開いた行に対して手動プリチャージを行うか 自動プリチャージを行う必要があります 自動プリチャージコマンドの t RP 時間は アクセス期間の完了直後から始まります WRITE コマンドの trp 時間は t WR 時間の完了直後から始まります READ コマンドの t RP 時間は 直前の有効データが内部バスから解放された直後に始まります 具体的には READ コマンド発行後の [ バースト長 /2] x t CK で求めることができます 手動プリチャージは 自動プリチャージと同時に あるいは自動プリチャージの後に行うことができます t RCD と同様に trp 値は小さいほど性能が高くなりますが 100% 互換性を維持するには クロック周波数が高いほど小さくなる必要があります DDR333 速度グレードの Micron 部品は すべての低速 DDR 部品と 100% 互換性があります TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

7 表 3: JEDEC 定義の t RP と Micron の互換 t RP の比較 DDR200 DDR266 DDR333 DDR400 Micron の速度グレード すべて Z -75E -6T -5B Micron 20ns 20ns 20ns 15ns 15ns 15ns JEDEC 20ns 20ns 20ns 15ns 18ns 15ns その他のパラメータ 通常 性能パラメータ CL t RCD および t RP は サポートされている最速クロック周波数で最高の性能を発揮するものが仕様書で規定されています これらのパラメータを定義することにより 1 つのバンクを最速で開いて閉じ 再び開くための t RC といった他のパラメータも デフォルトで定義することができます 他の も 目立つ存在ではありませんが重要です 速度グレード別の AC パラメータの詳しい一覧については 8 ページの表 4 を参照してください TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

8 表 4 : 速度グレード別の全 AC パラメータの完全確認表次の表に示すパラメータはすべて 高速デバイスと低速デバイス間で 100% 互換性を維持しています また VDD = VDDQ = 2.5V ±0.2V で動作する DDR400 (-5B) デバイスの場合 動作が保証されているのは DDR333 デバイスおよびこれよりも低速なデバイスの特定のタイミングパラメータだけなので注意してください つまり DDR400 (-5B) の速度グレードによって規定されているものよりも高性能 高速タイミングを実現するには VDD = VDDQ = 2.6V ±0.1V でデバイスを動作させる必要があります AC 特性 -5B 11-6T (TSOP) -75E -75Z -75 パラメータ 記号 最小値 最大値最小値最大値最小値 最大値 最小値 最大値 最小値 最大値 単位 CK/CK# 信号から DQ 信号までのア t AC ns クセスウィンドウ ( 注 1を参照 ) クロックサイクル時間 CL = 3 t CK (3) 該当せ 該当せ 該当せ 該当せ 該当せ 該当せ 該当せ 該当せ ns ( 注 2 を参照 ) ず ず ず ず ず ず ず ず CL = 2.5 t CK (2.5) ns CL = 2 t CK (2) ns DQS 信号を基準とする DQ 信号お t DH ns よび DM 信号入力のホールド時間 t DS ns ( t DH) とセットアップ時間 ( t DS) ( 注 3 を参照 ) CK/CK# 信号から DQS 信号までのアクセスウィンドウ ( 注 4を参照 ) DQS/DQ 間スキュー すなわちグループ別およびアクセス別の DQS 信号から最後の有効 DQ 信号までの時間 ( 注 4 を参照 ) WRITE コマンドから最初の DQS 信号までのラッチ遷移時間 ( 注 5 を参照 ) CK/CK# からデータ出力高インピーダンスまでのウィンドウ ( 注 6 を参照 ) CK/CK# からデータ出力低インピーダンスまでのウィンドウ ( 注 7 を参照 ) アドレスおよび制御入力のホールド時間 ( 高速スルーレート ) ( 注 8 を参照 ) LOAD MODE REGISTER コマンドのサイクルタイム ( 注 9 を参照 ) データホールドスキュー係数 ( 注 10を参照 ) ACTIVE-to-PRECHARGE コマンド ( 注 12を参照 ) 自動プリチャージコマンドによる ACTIVE-to-READ 時間 ( 注 7 を参照 ) ACTIVE-to-ACTIVE/AUTO REFRESH コマンド期間 ( 注 7 を参照 ) ACTIVE-to-READ 遅延または ACTIVE-to-WRITE 遅延 ( 注 7 を参照 ) PRECHARGE コマンド期間 ( 注 7 を参照 ) t DQSCK ns t DQSQ ns t DQSS t HZ ns t LZ ns t IH F ns t IS F ns t IH S ns t IS S ns t MRD ns t QHS ns t RAS 40 70, , , , ,000 ns t RAP ns t RC ns t RCD ns t RP ns t CK TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

9 表 4 : 速度グレード別の全 AC パラメータの完全確認表次の表に示すパラメータはすべて 高速デバイスと低速デバイス間で 100% 互換性を維持しています また VDD = VDDQ = 2.5V ±0.2V で動作する DDR400 (-5B) デバイスの場合 動作が保証されているのは DDR333 デバイスおよびこれよりも低速なデバイスの特定のタイミングパラメータだけなので注意してください つまり DDR400 (-5B) の速度グレードによって規定されているものよりも高性能 高速タイミングを実現するには VDD = VDDQ = 2.6V ±0.1V でデバイスを動作させる必要があります AC 特性 -5B 11-6T (TSOP) -75E -75Z -75 パラメータ記号最小値最大値最小値最大値最小値最大値最小値最大値最小値最大値単位バンク a に対する ACTIVE コマンド t RRD ns とバンク b に対する ACTIVE コマンド間 ( 注 7 を参照 ) AUTO REFRESH コマンド期間 t RFC ns REFRESH-to-REFRESH コマンド間隔 t REFC µs 平均リフレッシュ周期 t REFI µs SELF REFRESH 終了コマンドと t XSNR ns READ 以外のコマンド間 ( 注 7 を参照 ) 注 : 1. t AC の場合 値が小さいほど高性能となります すべての高速グレードと低速グレード間の互換性が維持されます 2. CL の場合 特定のパラメータの最小クロックサイクル時間と最大クロックサイクル時間を比較する必要があります たとえば CL = 3 の -5B デバイスは 5ns ~ 7.5ns で動作します これよりも低速だと CL = 3 はサポートされません ただし CL = 2 の -5B デバイスは 7.5ns ~ 13ns で動作可能 CL = 2.5 の -5B デバイスは 6ns ~ 13ns で動作可能なので すべての低速グレードと 100% 互換性が維持されます 3. 高速グレードについてはセットアップ時間とホールド時間の両方が小さくなるので互換性が維持されます 4. 値は小さいほど高性能です その結果 高速グレードと低速グレードの互換性が維持されます 5. 最小値の場合は値が小さいほど高性能 最大値の場合は値が大きいほど高性能です すべての高速グレードと低速グレード間の互換性が維持されます 6. 最大値の場合 値が小さいほど高性能です すべての高速グレードと低速グレード間の互換性が維持されます 7. 最小値の場合 値が小さいほど高性能です すべての高速グレードと低速グレード間の互換性が維持されます 8. 速度グレードが高速になるほどセットアップおよびホールド時間は小さくなります その結果 互換性が維持されます ( 低速グレードの入力スルーレートが適用されます ) 9. 最小値の場合 値が小さいほど高性能です すべての高速グレードと低速グレード間の互換性が維持されます 10. 最大値の場合 値が小さいほど高性能です すべての高速グレードと低速グレード間の互換性が維持されます 11. VDD = VDDQ = 2.5V ア 0.2V で動作する DDR400 (-5B) デバイスの場合 動作が保証されているのは DDR333 デバイスおよびこれよりも低速なデバイスの特定のタイミングパラメータだけなので注意してください つまり DDR400 (-5B) の速度グレードで指定されてるものよりも高性能 高速タイミングを実現するには VDD = VDDQ = 2.6V ± 0.1V でデバイスを動作させる必要があります B および -6T では より低速な速度グレードで t RAS (MAX) = 120,000ns がサポートされています TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

10 DC パラメータ DC パラメータ まとめ DRAM のタイミングパラメータは互換性を検討する際の基本的要素ですが 動作条件を決める DC パラメータも同様に重要です DDR400 を除くすべての速度グレードの動作電圧は VDD = VDDQ = 2.5V ± 0.2V で規定されます DDR400 の場合 JEDEC が DDR400 の定義を行った当時 デバイス速度の高速化を図るために電圧をわずかに引き上げることが検討されました その結果 動作電圧を VDD = VDDQ = 2.6V ± 0.1V で規定し 現在に至っています JEDEC の規格では すべての動作速度 (DDR400 DDR333 DDR266 および DDR200) について DDR400 デバイスを VDD = VDDQ = 2.6V ± 0.1V で動作させるように規定しています 速度グレード DDR400 の場合 Micron でも VDD = VDDQ = 2.6V ± 0.1V でデバイスを動作させることを条件としています Micron デバイスは DDR400 よりも低速な速度グレード (DDR333 ~ DDR200) でも互換性を維持しており 動作電圧は VDD = VDDQ = 2.5V ± 0.2V としています JEDEC 定義の速度グレードでは低速部品と高速部品間の互換性は完全に維持されていませんが Micron の速度グレードでは完全に互換性が維持されています Micron は今後も 計画 テスト 開発の各段階において完全な互換性の維持を目指していく予定です このテクニカルノートに記載した AC および DC パラメータデータから Micron の DDR 高速デバイスは低速アプリケーションで動作することは明らかです 速度グレード間の互換性については 4 ページの表 2 を参照してください 最新のデータシートおよびその他の技術情報については Micron の Web サイト ( をご覧ください 8000 S. Federal Way, P.O. Box 6, Boise, ID 電話番号 : prodmktg@micron.com お客様お問い合わせ先 : Micron, the M logo, and the Micron logo are trademarks of Micron Technology, Inc. All other trademarks are the property of their respective owners. Micron Technology, Inc., reserves the right to change products or specifications without notice. TN4613.fm - Rev. A 8/05 EN Micron Technology, Inc. All rights reserved.

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices XAPP858 (v1.1) 2007 1 9 : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2

More information

Test

Test 1 39 41 199 Data Sheet Rev. 1.0 11.02.2003 200-pin DDR SDRAM Module SO-DIMM 1024MB DDR PC 2100 in COB 200-64- Small Outline Dual-In-Line. DRAM DDR- SDRAM : MICRON MT 46V 64M8 T17B V DD 2,5V ±0.2V, V DD

More information

TN-12-15: N25QとSpansion S25FL フラッシュ デバイスの比較

TN-12-15: N25QとSpansion S25FL フラッシュ デバイスの比較 テクニカルノート TN-12-15: と Spansion フラッシュ デバイスの 比 較 はじめに Comparing Micron and Spansion Flash Devices はじめに 本 テクニカルノートは Micron (32Mb または 64Mb) と Spansion フラッ シュ メモリ デバイスの 機 能 を 比 較 することを 目 的 としています 比 較 した 機 能

More information

Xpand! Plug-In Guide

Xpand! Plug-In Guide Xpand! Version 1.0 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of Digidesign.

More information

X-Form Plug-in Guide

X-Form Plug-in Guide X-Form Plug-in Version 7.2 Copyright 2006 Digidesign, a division of Avid Technology, Inc. All rights reserved. This guide may not be duplicated in whole or in part without the express written consent of

More information

Adobe Acrobat DC 製品比較表

Adobe Acrobat DC 製品比較表 X X Adobe, the Adobe logo, Acrobat, the Adobe PDF logo, Creative Cloud, and Reader are either registered trademarks or trademarks of Adobe Systems Incorporated in the United States and/or other countries.

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

HD74LS74A データシート

HD74LS74A データシート ual -typ Positiv dg-triggrd Flip-Flops (with Prst and Clar) データシート は, ダイレクトクリア, ダイレクトプリセットおよびコンプリメンタリ出力, によって構成されており, 入力データは, クロックパルスの立ち上がりエッジで出力に伝達されます 特長 発注型名 R04S002JJ0300 (Prvious: RJJ030560-0200)

More information

総合仕様

総合仕様 Dell Inspiron 300/400 仕様 本書には セットアップ ドライバのアップデート およびコンピュータのアップデートの際に必要となる可能性がある基本情報が記載されています メモ : 提供される内容は地域により異なる場合があります コンピュータの設定に関する詳細については スタートとサポートをクリックし お使いのコンピュータに関する情報を表示するためのオプションを選択してください ヘルプ

More information

富士通セミコンダクタープレスリリース 2009/05/19

富士通セミコンダクタープレスリリース 2009/05/19 [ デバイス ] 2009 年 5 月 19 日富士通マイクロエレクトロニクス株式会社 世界初!125 動作の SiP 向け低消費電力メモリを新発売 ~ メモリの耐熱性向上により 消費電力の大きな高性能デジタル家電に最適 ~ 富士通マイクロエレクトロニクス株式会社 ( 注 1) は DDR SDRAM インターフェースを持つメモリでは世界で初めて動作温度範囲を 125 まで拡張したコンシューマ FCRAM(

More information

N12866N2P-H.PDF

N12866N2P-H.PDF 16Mx64bits PC133 SDRAM SO DIMM Based on 16Mx16 SDRAM with LVTTL, 4 banks & 8K Refresh (16M x 16bit) /. / 1 A0 ~ A12 BA0, BA1 CK0, CK1 CKE0 /S0 /RAS /CAS /WE DQM0 ~ DQM7 DQ0 ~ DQ63 SA0~2 SDA SCL VCC 3.3

More information

複数ポイントの測定を実施し その平均値を取得するには? SENS:VOLT:DC:NPLC 10 CALC:FUNC AVER;STAT ON // DCV 測定, レンジ 1V, 桁半表示 5 // 積分時間を 10 に設定 // 演算機能 ( 平均値 ) を ON に設定 CALC:AVER:A

複数ポイントの測定を実施し その平均値を取得するには? SENS:VOLT:DC:NPLC 10 CALC:FUNC AVER;STAT ON // DCV 測定, レンジ 1V, 桁半表示 5 // 積分時間を 10 に設定 // 演算機能 ( 平均値 ) を ON に設定 CALC:AVER:A 3441 0A/11A プログラミング - こんなとき どんなコマンドを使う?- アジレント テクノロジー ( 株 ) 計測お客様窓口 Example1: 測定データを取得するための最も簡単なコマンドは? MEAS:VOLT:DC? //DCV 測定, オートレンジ, デフォルト分解能 (51/2 桁 ) >データ受信 (ASCII ) 参考 ) 他のファンクション測定に応用できます MEAS:CURR:DC?

More information

360_h1_4.ai

360_h1_4.ai 2008 EA Digital Illusions CE AB. Mirror's Edge and the DICE logo are trademarks or registered trademarks of EA Digital Illusions CE AB. All Rights Reserved. EA and the EA logo are trademarks or registered

More information

TC74HC4017AP/AF

TC74HC4017AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4017AP,TC74HC4017AF Decade Counter/Divider TC74HC4017A は シリコンゲート CMOS 技術を用いた高速 10 進ジョンソンカウンタです CMOS の特長である低い消費電力で 等価な LSTTL に匹敵する高速動作を実現できます CK あるいは CE 入力に印加されたカウントパルスの数により

More information

TC74HC109AP/AF

TC74HC109AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC19AP,TC74HC19AF Dual J-K Flip-Flop with Preset and Clear TC74HC19A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って

More information

TC74HC112AP/AF

TC74HC112AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC112AP,TC74HC112AF Dual J-K Flip Flop with Preset and Clear TC74HC112A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電流で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

MSM56V16160F

MSM56V16160F 1 電子デバイス MSM56V16160F 2-Bank 524,288-Word 16-Bit SYNCHRONOUS DYNAMIC RAM 2001 2 2001 1 MSM56V16160F CMOS 2 524,288 16 RAM 3.3V LVTTL 4 CMOS 1 2 524,288 16 3.3V 0.3V LVTTL LVTTL 4096 /64ms Latency 1 2 3

More information

テクニカルガイド「増設メモリ」(2006/09/15)

テクニカルガイド「増設メモリ」(2006/09/15) (2006/09/15) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

増設メモリ (2006/11/20)

増設メモリ (2006/11/20) (2006/11/20) 1. 機能 型名 N8102-246 N8102-247 N8102-248 N8102-249 8GB (x2 枚 ) (x2 枚 ) (x2 枚 ) (x2 枚 ) DDR2-533 SDRAM-DIMM(Fully Buffered),ECC 駆動電圧 1.5V/1.8V 型名 N8102-250 N8102-251 N8102-252 (x2 枚 ) (x2 枚 )

More information

Agilent Technologies N5413A DDR2 Infiniium 9000/90000 Data Sheet DDR2 デザインを迅速にテスト デバッグ 評価 Agilent N5413A DDR2 JEDEC 1 JESD79-2E DDR2 SDRAM Specificati

Agilent Technologies N5413A DDR2 Infiniium 9000/90000 Data Sheet DDR2 デザインを迅速にテスト デバッグ 評価 Agilent N5413A DDR2 JEDEC 1 JESD79-2E DDR2 SDRAM Specificati Agilent Technologies N5413A DDR2 Infiniium 9000/90000 Data Sheet DDR2 デザインを迅速にテスト デバッグ 評価 Agilent N5413A DDR2 JEDEC 1 JESD79-2E DDR2 SDRAM Specification JESD208 Speciality DDR2-1066 SDRAM S p e c i f i

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

ComputerArchitecture.ppt

ComputerArchitecture.ppt 1 人間とコンピュータの違い コンピュータ 複雑な科学計算や膨大な量のデータの処理, さまざまな装置の制御, 通信などを定められた手順に従って間違いなく高速に実行する 人間 誰かに命令されなくても自発的に処理したり, 条件が変化しても臨機応変に対処できる 多くの問題解決を経験することで, より高度な問題解決法を考え出す 数値では表しにくい情報の処理ができる 2 コンピュータの構成要素 構成要素 ハードウェア

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1 自習 & ハンズオントレーニング資料 System Recovery 18 ファイルのリストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス本部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく行われることもあります なお 当ドキュメントの内容は参考資料として

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

( ) PIN A0~A14 NAME TC59LM814CFT TC59LM806CFT BA0, BA1 0~7 ( 8) 0~15 ( 16) CS FN PD, ( 8) U/L ( 16) V DD V SS V D V SSQ V REF NC 1, NC VD VD 5 N

( ) PIN A0~A14 NAME TC59LM814CFT TC59LM806CFT BA0, BA1 0~7 ( 8) 0~15 ( 16) CS FN PD, ( 8) U/L ( 16) V DD V SS V D V SSQ V REF NC 1, NC VD VD 5 N MOS CMOS 256M FCRAM1 4,194,304 4 16 8,388,608 4 8 TC59LM814/06CFT CMOS 268,435,456 (FCRAM TM ) 2 TC59LM814CFT 4,194,304 4 16 TC59LM806CFT 8,388,608 4 8 400M / FCRAM TM DDR SDRAM TC59LM814/06CFT t CK t

More information

TMS320C6455 におけるDDR2 PCBレイアウトの実装

TMS320C6455 におけるDDR2 PCBレイアウトの実装 JAJA082A 2008 年 08 月 TMS320C6454/5 DDR2 PCB レイアウトの実装 アプリケーション技術部 アブストラクトこの文書には TMS320C6454/5に搭載されているDDR2 インターフェイス用の実装方法の説明が含まれています DDR2 インターフェイスに対してタイミングを規定するアプローチは 以前のデバイスとは異なります 以前のアプローチでは データシートでの規定およびシミュレーション

More information

JABRA BT

JABRA BT USER MANUAL ....................................................... 2 JABRA BT3030..................................... 2............................................ 3...........................................................

More information

Windows Phone 用 Cisco AnyConnect セキュアモビリティクライ アントユーザガイド(リリース 4.1.x)

Windows Phone 用 Cisco AnyConnect セキュアモビリティクライ アントユーザガイド(リリース 4.1.x) Windows Phone 用 Cisco AnyConnect セキュアモビリティクライアントユーザガイド ( リリース 4.1.x) AnyConnect ユーザガイド 2 AnyConnect の概要 2 Windows Phone サポート対象デバイス 2 Windows Phone 上の AnyConnect のインストールまたはアップグレード 3 Windows Phone デバイス上の

More information

170508_Falcon16p日本語版【5校】.indd

170508_Falcon16p日本語版【5校】.indd Falcon Falcon Falcon 0 Falcon SECTION 01: TUBES Falcon Falcon Falcon Falcon 1mL / 0mL Falcon Falcon Falcon 0mL 1,000 RCF -0 0 0 0 ml Falcon Falcon 1..0 USP Falcon ISO001:00 ISO 0 Falcon x mm ml µm SECTION

More information

Report Template

Report Template Lattice ECP3 DDR3 メモリ I/F 1 目次 1 このドキュメントの概要... 4 2 DDR_MEM モジュールを使用する場合の注意点... 5 2.1 PLL の配置およびクロック入力ピンに関する注意事項... 5 2.2 クロック位相調整回路のタイミング制約と配置指定... 6 2.2.1 CSM の配置指定... 6 3 DDR3 SDRAM CONTROLLER IP を使用する場合の注意事項...

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

untitled

untitled Avid DNxHD Technology High definition without the high overhead. Revolutionary Avid DNxHD encoding. 1 2 ... 4 Avid DNxHD... 4 Avid DNxHD... 5... 6 Avid DNxHD... 6 Avid DNxHD... 7...9... 10... 11 HD...

More information

PowerPoint Presentation

PowerPoint Presentation 第 18 回 Autodesk Moldflow ライブヘルプ What s New Autodesk Moldflow 2018 オートデスク株式会社 プロダクトサポート Autodesk Moldflow ライブヘルプ Web 会議システムによる 気軽に参加いただく 1 時間のサポートセッション 目的 多くのユーザ様を直接ヘルプできる 直接フィードバックを頂くことができる (Q&A セッション

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

SonicWALL SSL-VPN 4000 導入ガイド

SonicWALL SSL-VPN 4000 導入ガイド COMPREHENSIVE INTERNET SECURITY SonicWALL セキュリティ装置 SonicWALL SSL-VPN 4000 導入ガイド 1 2 3 4 5 6 7 8 9-1 2 - 3 1 4 - 5 2 1. 2. 3 6 3 1. 2. 3. 4. 5. - 7 4 4 8 1. 2. 3. 4. 1. 2. 3. 4. 5. - 9 6. 7. 1. 2. 3. 1.

More information

アプリケーション ノート DDR メモリの電気的検証 スマート フォンからサーバまで ほとんどすべての電子デバイスには なんらかの形式のRAMメモリが使用されています フラッシュ型 NANDはさまざまな民生家電で今も数多く使われていますが コンピュータやコンピュータベースの製品においては今なお SD

アプリケーション ノート DDR メモリの電気的検証 スマート フォンからサーバまで ほとんどすべての電子デバイスには なんらかの形式のRAMメモリが使用されています フラッシュ型 NANDはさまざまな民生家電で今も数多く使われていますが コンピュータやコンピュータベースの製品においては今なお SD アプリケーション ノート DDR メモリの電気的検証 スマート フォンからサーバまで ほとんどすべての電子デバイスには なんらかの形式のRAMメモリが使用されています フラッシュ型 NANDはさまざまな民生家電で今も数多く使われていますが コンピュータやコンピュータベースの製品においては今なお SDRAMはメモリ技術の主流となっており ビット単価も比較的安価でスピードとストレージ容量のバランスも優れています

More information

Keysight Technologies マルチ・プロトコル & ロジック・アナライザ

Keysight Technologies マルチ・プロトコル & ロジック・アナライザ Keysight Technologies & PCI Epress MIPI M-PHY /D-PHY SM DDR2/3/4 FPGA 16850 U4431A MIPI M-PHY 02 Keysight & 16850 www.keysight.co.jp/find/16850 16851A 34ch 1,985,989 16852A 68ch 2,541,361 16853A 102ch

More information

TC7WT126FU

TC7WT126FU 東芝 CMOS デジタル集積回路シリコンモノリシック Dual Bus Buffer は シリコンゲート CMOS 技術を用いた高速 CMOS 2 回路入り 3- ステートバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レベルですので TTL レベルのバスに直結可能です 3- ステートコントロール入力 G を L とすることにより出力

More information

IIJダイレクトアクセス APN簡易設定ツール(APNSetupperD.exe)を利用した接続方法

IIJダイレクトアクセス APN簡易設定ツール(APNSetupperD.exe)を利用した接続方法 IIJ ダイレクトアクセス APN 簡易設定ツール (APNSetupperD.exe) を利用した接続方法 APN 簡易設定ツール (APNSetupperD.exe) を用いて IIJ ダイレクトアクセスに接続するまでの手順を解説します APN: Access Point Name の略で 接続先の名称です 設定前に必ずお読みください APN 簡易設定ツール (APNSetupperD.exe)

More information

EOS: 材料データシート(アルミニウム)

EOS: 材料データシート(アルミニウム) EOS EOS は EOSINT M システムで処理できるように最適化された粉末状のアルミニウム合金である 本書は 下記のシステム仕様により EOS 粉末 (EOS art.-no. 9011-0024) で造形した部品の情報とデータを提供する - EOSINT M 270 Installation Mode Xtended PSW 3.4 とデフォルトジョブ AlSi10Mg_030_default.job

More information

概要0911JP.indd

概要0911JP.indd STRADA SMART CC-RD500B ストラーダスマート 簡単ガイド よくある質問 概要 初期設定 操作方法 よくある質問 The Bluetooth word mark and logos are owned by Bluetooth SIG, Inc. and any use of such marks by CATEYE Co., Ltd. is under license. Other

More information

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック

増設メモリ 1. 機能 型名 N N N N N GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック (2009/10/28) 増設メモリ 1. 機能 型名 N8102-356 N8102-357 N8102-358 N8102-359 N8102-360 8GB 16GB 3 (x2 枚 ) (x2 枚 ) (x2 枚 ) (8GBx2 枚 ) (16GBx2 枚 ) DDR3-1066(PC3-8500) 動作クロック 533MHz( 差動 ) 1.5V 型名 N8102-351 N8102-352

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 Backup Exec 15 BE15-07 データライフサイクル管理 (DLM) ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/ キーサイトウェブセミナー 2016 誰もが陥る DDR メモリトラブル回避法 キーサイト テクノロジー合同会社アプリケーションエンジニアリング部門小室行央 メモリ トレンド DDR4 と LPDDR4 の速度域が重なる 12800 6400 3200 1600 800 400 200 100 1.5V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる

More information

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102

増設メモリ 1. 機能 型名 N N N (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC ) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102 (2009/12/08) 増設メモリ 1. 機能 型名 N8102-339 N8102-340 N8102-341 (x1 枚 ) (x1 枚 ) (x1 枚 ) DDR3-1333(PC3-10600) SDRAM-DIMM, Unbuffered,ECC 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-330 N8102-331 N8102-332 N8102-333 8GB

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

R1RP0416DIシリーズデータシート

R1RP0416DIシリーズデータシート Wide Temperature Version 4M High Speed SRAM (256-kword 16-bit) データシート RJJ03C0097-0201 Rev.2.01 概要 R1RP0416DI シリーズは 256k ワード 16 ビット構成の 4M ビット高速スタティック RAM です CMOS(6 トランジスタメモリセル ) プロセス技術を採用し, 高密度, 高性能, 低消費電力を実現しました

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

増設メモリ (2010/06/17)

増設メモリ (2010/06/17) (2010/06/17) 1. 機能 型名 N8102-371 N8102-372 N8102-373 N8102-374 N8102-375 16GB (1GBx1 枚 ) (2GBx1 枚 ) (x1 枚 ) (x1 枚 ) (16GBx1 枚 ) 1.35V/1.5V 型名 N8102-387 N8102-388 N8102-389 N8102-390 N8102-391 2GB 16GB 32GB

More information

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1

増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N N N (1GBx1 (2010/04/26) 増設メモリ 1. 機能 型名 N8102-G342 N8102-G343 N8102-G344 1GB (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC 1.5V 型名 N8102-342 N8102-343 N8102-344 (1GBx1 枚 ) (x1 枚 ) (x1 枚 ) SDRAM-DIMM, Unbuffered,ECC

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN

Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN Xenoma GENKEI Autodesk Fusion 360 Autodesk Fusion 360 Honda 3D Fusion 360 CAD Honda EV Autodesk Fusion 360 Honda 2013 3D 3D Web Rinkak 3D 2016 Honda 3D CEATEC JAPAN 2016 Honda EV 2 Autodesk Fusion 360

More information

HULFT の通信をよりセキュアに HULFT と SSH Tectia を組み合わせたセキュアで強力なファイル転送 Compatibility Note 2008 年 9 月 株式会社セゾン情報システムズの企業内 企業間通信ミドルウェアである HULFT は ファイル転送のアプリケーションとして

HULFT の通信をよりセキュアに HULFT と SSH Tectia を組み合わせたセキュアで強力なファイル転送 Compatibility Note 2008 年 9 月 株式会社セゾン情報システムズの企業内 企業間通信ミドルウェアである HULFT は ファイル転送のアプリケーションとして HULFT の通信をよりセキュアに HULFT と SSH Tectia を組み合わせたセキュアで強力なファイル転送 Compatibility Note 2008 年 9 月 株式会社セゾン情報システムズの企業内 企業間通信ミドルウェアである HULFT は ファイル転送のアプリケーションとして 主に流通業 製造業で大きなシェアを誇るパッケージソフトウェアです SSH Tectia ソリューションを

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3

増設メモリ 1. 機能 型名 N N N N GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC ) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-3 (2010/01/22) 増設メモリ 1. 機能 型名 N8102-361 N8102-362 N8102-363 N8102-364 8GB (x1 枚 ) (x1 枚 ) (x1 枚 ) (8GBx1 枚 ) DDR3-1333(PC3-10600) 動作クロック 667MHz( 差動 ) 1.5V 型名 N8102-365 N8102-366 N8102-367 (x1 枚 ) (x1 枚 )

More information

JBOD_2000_3000_series_PRN_PDS_v3.0-JP

JBOD_2000_3000_series_PRN_PDS_v3.0-JP Expansion Enclosures ストレージディスク拡張に対応する拡張ユニット (JBOD) Infortrend DS シリーズ GS/GSe シリーズの搭載ディスク拡張に対応します JB2000 JB3000 シリーズは 2U12 ベイから U ベイまでのマルチフォームファクタ対応ディスク拡張ユニット (JBOD) です ディスク拡張性能の高さは様々なストレージ 要求条件に対してデータサイズと予算に応じた最適なストレージ構成を実現します

More information

(Microsoft PowerPoint - - ver3.pptx)

(Microsoft PowerPoint - - ver3.pptx) C-2 Inventor チューブ & パイプ活用による業務改善への取り組み 梶原工業株式会社 設計部 : 阿部和明 上山学 September 8, 2016 目次 梶原工業 ( カジワラ ) の紹介 改善への取り組み 問題点 3D CAD 活用への取り組み チューブ & パイプの活用による効果 まとめ カジワラの所在地 3 食品加工用加熱撹拌機 煮炊撹拌機 レオニーダー 加熱 冷却乳化機 クッキングミキサー

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3

増設メモリ 1. 機能仕様 型番製品名備考 N GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N GB 増設メモリボード DDR3L-1600(PC3 (2012/04/06) 増設メモリ 1. 機能仕様 型番製品名備考 N8102-435 8GB 増設メモリボード (2x 4 GB/U) DDR3L-1333(PC3L-10600) SDRAM ECC 付 Registered, 2GBx2 枚の N8102-468 4GB 増設メモリボード DDR3L-1600(PC3L-12800) SDRAM ECC 付 Registered, 2GBx2

More information

<4D F736F F D E096BE8E9197BF5F984193AE F B40945C432E646F63>

<4D F736F F D E096BE8E9197BF5F984193AE F B40945C432E646F63> ~ 連動シャットダウン機能 ~ 図番 TT-4685-001 C 目次 1. 機能概要... 3 2. 構成... 3 2-1. マスターとスレーブ構成... 3 2-2. システム図... 4 2-3. 停電時の動作例... 4 3. セットアップ... 5 3-1. Windows 版のセットアップ... 5 (1) マスター側の設定... 5 (2) スレーブ側の設定... 6 (3) セットアップの確認...

More information

アセンブリにおけるパターンの作成

アセンブリにおけるパターンの作成 アセンブリにおけるパターンの作成 マニュアル番号 spse01640 アセンブリにおけるパターンの作成 マニュアル番号 spse01640 所有権および制限付き権利について This software and related documentation are proprietary to Siemens Product Lifecycle Management Software Inc. 2010

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information

ハピタス のコピー.pages

ハピタス のコピー.pages Copyright (C) All Rights Reserved. 10 12,500 () ( ) ()() 1 : 2 : 3 : 2 4 : 5 : Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All Rights Reserved. Copyright (C) All

More information

Copyright 2008 All Rights Reserved 2

Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 1 Copyright 2008 All Rights Reserved 2 Copyright 2008 All Rights Reserved 3 Copyright 2008 All Rights Reserved 4 Copyright 2008 All Rights Reserved 5 Copyright 2008 All

More information

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば

問題 バイポーラ電源がないと 正と負の電圧や電流を瞬断なくテスト機器に供給することが困難になります 極性反転リレーやスイッチ マトリクスを持つ 1 象限または 2 象限電源では V またはその近傍に不連続が生じ これが問題になる場合があります ソリューション 2 象限電圧のペアを逆直列に接続すれば 太陽電池セル / モジュール向けテスト ソリューション Agilent 663XB 電源を逆接続して 太陽電池セル / モジュール テスト用の 4 象限動作を実現 Application Note 概要 電源を使って太陽電池セル / モジュールの性能を完全に特性評価するには 電圧を正方向と逆方向で印加する必要があります ソーラ デバイスが明状態 ( 光が照射された状態 ) のときは 電源は可変電圧負荷として動作し

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc)

(Microsoft Word - DDR\203\215\203W\203A\203i\215\\\220\254-ver0619.doc) DDR1/DDR2 ロジックアナライザ ソリューション構成ガイド Ver June/19/2006 機能と特徴 : Agilent 16900ロジックアナライザを使用して DDR1 および DDR2 システムのロジックロジック検証検証を行います 実際にシステムを組み上げた時に想定通りに動作しない場合 信号間のタイミングやコマンドの確認をします ロジックアナライザのEyeScan 機能を用いると信号品質を素早く把握することも出来ます

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

Datenblatt

Datenblatt 寸法 35 55 9 Teach H.Res Norm H.Spd Off.D T.Off On.D LO DO 型番 光ファイーバーアンプ 特長 接続方法 簡単操作 表示と設定ボタンでパラメータ設定可能 ブリッジ通信でもっと簡単な配線構成が可能 ASC ( 自動信号制御 ) 透明体検出可能 マスタモジュール 3 μs ハイスピードモード +UB 13VDC V Q Release dae: 29-1-22

More information

目次 1. はじめに 用語説明 対象アダプタ P HBA/2P HBAで異なる性能 付録 ( 性能測定環境 ) P HBAでの性能測定環境 P HBAでの性能測定環境 本書の

目次 1. はじめに 用語説明 対象アダプタ P HBA/2P HBAで異なる性能 付録 ( 性能測定環境 ) P HBAでの性能測定環境 P HBAでの性能測定環境 本書の ホワイトペーパー Hitachi Gigabit Fibre Channel アダプタ - 16G FC アダプタに搭載される FC ポート数の性能への影響 について - 2014 年 4 月発行 株式会社日立製作所 1 / 9 Copyright 2014 Hitachi, Ltd. All rights reserved 目次 1. はじめに... 3 2. 用語説明... 4 3. 対象アダプタ...

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

細辛 (Asari Radix Et Rhizoma) 中の アサリニンの測定 Agilent InfinityLab Poroshell 120 EC-C µm カラム アプリケーションノート 製薬 著者 Rongjie Fu Agilent Technologies Shanghai

細辛 (Asari Radix Et Rhizoma) 中の アサリニンの測定 Agilent InfinityLab Poroshell 120 EC-C µm カラム アプリケーションノート 製薬 著者 Rongjie Fu Agilent Technologies Shanghai 細辛 (Asari Radix Et Rhizoma) 中の アサリニンの測定 Agilent InfinityLab Poroshell 2 EC-C8.9 µm カラム アプリケーションノート 製薬 著者 Rongjie Fu Agilent Technologies Shanghai 概要 細辛 (Asari Radix Et Rhizoma) 中の活性化合物アサリニンをサブ 2 µm の Agilent

More information

スライド 1

スライド 1 デュポン タイケム 製品 耐透過性試験データ の参照方法の変更について 2014 年 2 月 145M02-500 February 2014 AZEARTH Corporation All Rights Reserved 変更の概要 耐透過性試験データ 適切な防護服の選択をするために必要なデータ これまでデュポン タイケム 製品の 耐透過性試験データ ( 以下透過データ ) は デュポン社発行 テクニカルハンドブック

More information

Microsoft Word - QlikView Server Memory Management and CPU Utilization_Technical Brief_Jpn.docx

Microsoft Word - QlikView Server Memory Management and CPU Utilization_Technical Brief_Jpn.docx QlikView Server のメモリ管理と CPU 使用率 QlikView スケーラビリティセンターテクニカルブリーフシリーズ 2012 年 9 月 qlikview.com はじめに 本テクニカルブリーフは QlikView のコア技術が RAM(Random Access Memory) や CPU (Central Processing Unit) 能力などのシステムリソースをどのように使用しているかを基本的なレベルで論じています

More information