XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices

Size: px
Start display at page:

Download "XAPP858 - High-Performance DDR2 SDRAM Interface In Virtex-5 Devices"

Transcription

1 XAPP858 (v1.1) : Virtex-5 FPGA Virtex-5 DDR2 SDRAM : Karthi Palanisamy Maria George (v1.1) DDR2 SDRAM Virtex -5 I/O ISERDES (Input Serializer/Deserializer) ODDR (Output Double Data Rate) DDR2 SDRAM Virtex-5 FPGA ISERDES FPGA ISERDES OCLK CLKDIV FPGA ISERDES Q3 Q4 BUFIO CC (Clock-Capable) I/O BUFIO DQS ISERDES FPGA ODDR DDR2 SDRAM DDR2 DDR2 SDRAM DDR2 SDRAM DDR SDRAM DDR2 SDRAM SSTL 1.8V I/O DDR2 SDRAM DDR SDRAM DDR2 SDRAM DDR2 SDRAM DDR (DQS) DQS DDR2 SDRAM DQS DDR2 SDRAM DDR2 3 FIFO FIFO Xilinx, Inc. All Rights Reserved. XILINX Xilinx Xilinx Xilinx Xilinx Inc. : Xilinx Xilinx Xilinx XAPP858 (v1.1) japan.xilinx.com 1

2 DDR2 SDRAM DDR2 SDRAM 1 (RAS) (CAS) (WE) (CKE) High (CS) Low DDR2 1 : DDR2 RAS CAS WE 1 L L L 2 L L H 3 (1) L H L 4 L H H 5 H L L 6 H L H 7 /IDLE H H H : 1. A10 High 1 Low DDR2 SDRAM CAS 1 BA1 BA0 BA1 BA0 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 0 0 PD WR DLL TM CAS# Latency BT Burst Length A2 A1 A0 Burst Length Others Reserved A11 A10 A9 Write Recovery Others Reserved A6 A5 A4 CAS Latency Others Reserved X858_01_ : 2 japan.xilinx.com XAPP858 (v1.1)

3 DDR2 SDRAM R 2 2 : BA1 BA0 0 0 (MR) 0 1 EMR1 1 0 EMR2 1 1 EMR3 DLL / ODT () CAS AL ( ) OCD ( ) DQS /RDQS/RDQS / OUTPUT / ( 3) OCD 3 : BA1 BA0 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 0 1 Out RDQS DQS OCD Program R TT Posted CAS R TT ODS DLL 2 (EMR2) 10 (BA1 High BA0 Low) Low 3 (EMR3) 11 (BA1 BA0 High) EMR2 Low DDR2 SDRAM 1. Deselect 200µs 2. CKE ns 4. EMR (2) BA0 Low BA1 High 5. EMR (3) BA0 BA1 High 6. EMR DLL BA1 A0 Low BA0 High 7. DLL DLL A8 Low XAPP858 (v1.1) japan.xilinx.com 3

4 DDR2 SDRAM 11. EMR E7 E8 E9 1 OCD 12. EMR E7 E8 E9 0 OCD DDR2 SDRAM Virtex-5 dp_dly_slct_done IDLE (t RP ) A10 1 DDR2 7.8µs 16 1 auto_ref auto_ref 7.8µs High DDR2 SDRAM t RCD DDR2 SDRAM CAS t RCD BA0 BA1 A 0 A i CAS 4 japan.xilinx.com XAPP858 (v1.1)

5 DDR2 SDRAM R CK CK T 0 T 1 T 2 T 3 T 3n T 4 T 4n T 5 Command READ Address DQS DQS Bank a, Col n RL = 3 (AL = 0, CL = 3) DQ DO n X858_02_ : BA0 BA1 A 0 A i DDR2 SDRAM (RL) 1 (WL) = 1=( +CAS ) 1 3 WL 2 DQS WL CK CK T 0 T 1 T 2 T 2n T 3 T 3n T 4 T 5 Command Write Address Bank a, Col b t DQSS (NOM) DQS DQS t DQSS DQ DI b DM X858_03_ : XAPP858 (v1.1) japan.xilinx.com 5

6 DDR2 SDRAM 4 DDR2 FIFO FIFO Write & Read Datapaths Synthesizable Test Bench DQS/DQ & Read Enable Calibration State Machines Memory Initialization State Machine & Command MUX Physical Layer Memory Interface TOP_TB CK/CK_N Address/Controls Command/Controls DQ DQS DDR2 SDRAM Read/Write Data & Addr FIFOs User Interface Memory Interface Top Controller (Main Command State Machine) Virtex-5 FPGA X858_04_ : DDR2 (DDR2 ) ROM RAM DDR2 SDRAM FIFO FIFO FIFO FIFO 3 FIFO 2 FIFO FIFO 6 japan.xilinx.com XAPP858 (v1.1)

7 R 4 4 : () usr_ip_add_fifo_addr 36 FIFO : Memory Address 31:0], (CS, Bank, Row, Column)[ Reserved [33:32] Command Request [35:34] usr_ip_add_fifo_empty 1 FIFO EMPTY FIFO ctrl_af_rden 1 FIFO ctrl_wdf_rden 1 FIFO FIFO FULL FIFO16 EMPTY FIFO XAPP858 (v1.1) japan.xilinx.com 7

8 5 : Af_addr (Af_addr) ( 5) col_ap_width - 1:0 col_ap_width + row_address 1:col_ap_width col_ap_width + row_address + bank_address 1:col_ap_width + row_address col_ap_width + row_address + bank_address + chip_address 1:col_ap_width + row_address + bank_address 6 6 : CLK State 09 0A 09 0A 09 0A 09 0A 0B ctrl_af_rden ctrl_wdf_rden usr_ip_add_fifo_empty 5 : 4 X858_05_ : 5 09 Burst Write 0A Write Wait 07 Burst Read 0B Write Read 08 Read Wait 8 japan.xilinx.com XAPP858 (v1.1)

9 R DQS DQ Virtex-5 I/O ODDR ODDR (DQ) (DQS) DQS DQ (DQS) CLK ODDR CLK90 DQS DQ 7 16 Write Data Rise D1 DQ Write Data Fall D2 ODDR FPGA Clock (CLK90) X858_06_ : OSERDES CLK0 CLK Forwarded to Memory Device Command WRITE IDLE Strobe (DQS) Data (DQ), OSERDES Output D0 D1 D2 D3 X858_07_ : 4 (DQS) (DQ) XAPP858 (v1.1) japan.xilinx.com 9

10 8 333MHz (667Mb/s) 8 : 333MHz DQS DQS T CLOCK 3000 T MEMORY_DLL_DUTY_CYCLE_DIST DLL ( ) T DATA_PERIOD T DATA_PERIOD % T SETUP T HOLD T PACKAGE_SKEW DQS PCB DQ T JITTER T CLOCK_SKEW-MAX T CLOCK_OUT_PHASE DQS DQ DCM ( ) DCM T PCB_LAYOUT_SKEW : japan.xilinx.com XAPP858 (v1.1)

11 R 2 Virtex-5 I/O ISERDES ISERDES CLK OCLK CLKDIV 3 CLK (DQS) OCLK (FPGA ) CLKDIV ( FPGA ) CLK : BUFIO DQS ISERDES CLK ( 8) OCLK : ISERDES OCLK ODDR CLK CLKfast_90 ISERDES OCLK ODDR CLK OCLK CLKDIV : OCLK CLKDIV OCLK CLKDIV CLKfast_90 IOB CLB DQ IDELAY Q2 User Interface FIFOs Read Data Rising Q1 Read Data Falling CLK OCLK CLKDIV FPGA Clock Delayed DQS Data delay value based on per bit deskew DQS IDELAY BUFIO 8 : IDDR CLB X858_08_ FPGA (DQ) (DQS) FPGA BUFIO DQS CC (Clock-Capable) I/O DQS BUFIO ISERDES CLK BUFIO DQS XAPP858 (v1.1) japan.xilinx.com 11

12 9 333MHz DQS DQ 9 : 333MHz (ps) T CLOCK 3000 T PHASE 1500 DDR T SAMP_BUFIO 350 Virtex-5-3 IOB FF / 150ps T DCD_BUFIO BUFIO T DQSQ + T QHS 580 VT DQS DQ T IDELAYTAP_JIT 20 IDELAY - : 1. T SAMP_BUFIO BUFIO IDELAY IOB DDR VT BUFIO package_skew pcb_layout_skew TDQSQ TQHS 0 ISERDES FPGA DQ ISERDES Q1 Q2 DQS DQ DQ FPGA FPGA DQS DQS 1 DQS DQS FPGA DQS DQS DQS DQ DQS DQS DQ DQS DQ 12 japan.xilinx.com XAPP858 (v1.1)

13 R 9 ISERDES FPGA FPGA Clock DQS at FPGA DQ at FPGA D0 D1 D2 D3 DQS Delayed by BUFIO at IDDR DQ DQ Captured by DQS Domain D0 D1 D2 D3 D0 D2 D1 D3 DQ Recaptured in FPGA Clock Domain D0 Input to Rising FIFO D2 D1 D3 D0 D2 Input to Falling FIFO D1 D3 X858_09_ : : () phy_init_stg1_calib 1 ( ) phy_init_stg2_calib 1 2 ( ) phy_calib_first_calib_done 1 XAPP858 (v1.1) japan.xilinx.com 13

14 10 : () () phy_calib_second_calib_done 1 ctrl_rden 1 FIFO 10 4 CAS 5 0 CLK0 Command READ DQ at Memory Device DQS at Memory Device Delayed DQS at IDDR CLK I/P Delayed DQ at IDDR I/P D0 D1 D2 D3 D0 D1 D2 D3 ctrl_rden Generated by Controller After CAS Latency ISERDES Q2 O/P - Read Data Rising D0 D2 ISERDES Q1 O/P - Read Data Falling D1 D3 WrEn X858_10_ : CAS 5 4 DDR2 SDRAM ctrl_rden CAS CAS ISERDES japan.xilinx.com XAPP858 (v1.1)

15 R Number of Registers Determined During Calibration ctrl_rden WrEn Write Enable to Read Data FIFOs CLK0 X858_11_ : FIFO : FIFO FWFT (First-Word-Fall-Through) FIFO FIFO 2. / 3. write_to_read read_to_write FIFO 4. DDR2 XAPP858 (v1.1) japan.xilinx.com 15

16 rst ~phy_init_done Idle cmd wr Active Active Wait Command Wait Conf conflict wr Burst Write conflict Command Wait wr Write Wait Precharge rd rd conflict rd Precharge Wait auto refresh Burst_Read rd rd conflict Write Bank Conf Auto Refresh Auto Refresh Wait conflict Read_Wait Read Wait Conf wr conflict X858_16_ : DDR2 Virtex-5 DDR2 SDRAM MIG (Memory Interface Generator) CORE Generator TM URL IP 16 japan.xilinx.com XAPP858 (v1.1)

17 R : BUFG 4 IDELAY 200MHz BUFG BUFIO 8 DCM 1-1 XC5VLX50 MT9HTF6472Y-667B3 DDR2 SDRAM SERDES DQS ISERDES FPGA 2006/05/ /01/ XAPP858 (v1.1) japan.xilinx.com 17

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note

Xilinx XAPP721 High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES, Application Note XAPP721 (v2.1) 2007 年 10 月 12 日 アプリケーションノート : Virtex-4 FPGA ISERDES と OSERDES を使用した高性能 DDR2 SDRAM インターフェイスのデータキャプチャ著者 : Maria George 本資料は英語版 (v2.1) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください 概要

More information

ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』

ザイリンクス XAPP454 『Spartan-3 FPGA の DDR2 SDRAM メモリ インターフェイス』 : Spartan-3 FPGA XAPP454 (v1.1.1) 2007 6 11 Spartan-3 FPGA DD2 SDAM : Karthikeyan Palanisamy Spartan -3 DD2 SDAM Micron DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD2 SDAM DD SDAM DD2 SDAM DD SDAM DD2 SDAM SSTL

More information

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート

Xilinx XAPP485 Spartan-3E FPGA における最大レート 666Mbps でのデシリアライズ、アプリケーション ノート XAPP485 (v1.1) 2006 11 10 R : Spartan-3E FPGA Spartan-3E FPGA 666Mbps 1:7 : Nick Sawyer (v1.1) Spartan -3E 666 / (Mbps) 1:7 Spartan-3E 4 5 666Mbps 1/7 Spartan-3E FPGA DCM ( ) DFS ( ) 3.5 DDR ( ) 1:7 DDR

More information

N12866N2P-H.PDF

N12866N2P-H.PDF 16Mx64bits PC133 SDRAM SO DIMM Based on 16Mx16 SDRAM with LVTTL, 4 banks & 8K Refresh (16M x 16bit) /. / 1 A0 ~ A12 BA0, BA1 CK0, CK1 CKE0 /S0 /RAS /CAS /WE DQM0 ~ DQM7 DQ0 ~ DQ63 SA0~2 SDA SCL VCC 3.3

More information

XAPP851 Virtex-5 FPGA デバイスを使用した DDR SDRAM コントローラ

XAPP851 Virtex-5 FPGA デバイスを使用した DDR SDRAM コントローラ XAPP851 (v1.1) 2006 年 7 月 14 日 R アプリケーションノート : Virtex-5 ファミリ Virtex-5 FPGA デバイスを使用した DDR SDRAM コントローラ 本資料は英語版 (v1.1) を翻訳したものです 英語の更新バージョンがリリースされている場合には 最新の英語版を必ずご参照ください 概要 このアプリケーションノートでは Virtex -5 デバイスにインプリメントされる

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ

ザイリンクス アプリケーション ノート XAPP709 : Virtex-4 FPGA デバイスを使用した DDR SDRAM コントローラ アプリケーションノート : Virtex-4 ファミリ XAPP709 (v1.4) 2005 年 11 月 18 日 Virtex-4 FPGA デバイスを使用した DD SDAM コントローラ著者 : Olivier Despaux 概要 このアプリケーションノートでは Virtex -4 XC4VLX25 FF668-10CES デバイスを使用してインプリメントした 200MHz DD SDAM

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

R1LV1616H-I シリーズ

R1LV1616H-I シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

R1LV0416Dシリーズ データシート

R1LV0416Dシリーズ データシート Wide Temperature Range Version 4M SRAM (256-kword 16-bit) RJJ03C0237-0100 Rev. 1.00 2007.05.24 262,144 16 4M RAM TFT 44 TSOP II 48 CSP 0.75mm 3.0V 2.7V 3.6V 55/70ns max 3µW typ V CC =3.0V 2CS 40 +85 C

More information

MSM56V16160F

MSM56V16160F 1 電子デバイス MSM56V16160F 2-Bank 524,288-Word 16-Bit SYNCHRONOUS DYNAMIC RAM 2001 2 2001 1 MSM56V16160F CMOS 2 524,288 16 RAM 3.3V LVTTL 4 CMOS 1 2 524,288 16 3.3V 0.3V LVTTL LVTTL 4096 /64ms Latency 1 2 3

More information

R1RP0416D シリーズ

R1RP0416D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM

c 2014 2 t WC 1 2: SRAM 1.2 DRAM DRAM DRAM DRAM 3 4M 1 DRAM 22 1 A0 A10 11 DRAM 22 DIN DOUT 1 DRAM 2014/4/22 1 1.1 SRAM SRAM 1 128K 1M 128K 8 17 8 SRAM CS 1 OE 2 WE 3 CS OE WE V CC V SS 1: SRAM SRAM 2 2 (a) t ACC t RC 1 2 (b) t CSW CS 1 chip select 2 output enable 3 write enable 1 c 2014 2 t WC 1 2:

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

MSM514400E/EL

MSM514400E/EL 1 1,08,576-Word x -BiYNAMIC RAM : 2001 1 CMOS 1,08,576 2 CMOS 26/20 SOJ 26/20 TSOP L!"1,08,576!"5V 10%!" : TTL!" : TTL!" : 1,02 16ms 1,02 128ms L-!"!"CAS RAS RAS!"!" : 26/20 300mil SOJ (SOJ26/20-P-300-1.27)

More information

( ) PIN A0~A14 NAME TC59LM814CFT TC59LM806CFT BA0, BA1 0~7 ( 8) 0~15 ( 16) CS FN PD, ( 8) U/L ( 16) V DD V SS V D V SSQ V REF NC 1, NC VD VD 5 N

( ) PIN A0~A14 NAME TC59LM814CFT TC59LM806CFT BA0, BA1 0~7 ( 8) 0~15 ( 16) CS FN PD, ( 8) U/L ( 16) V DD V SS V D V SSQ V REF NC 1, NC VD VD 5 N MOS CMOS 256M FCRAM1 4,194,304 4 16 8,388,608 4 8 TC59LM814/06CFT CMOS 268,435,456 (FCRAM TM ) 2 TC59LM814CFT 4,194,304 4 16 TC59LM806CFT 8,388,608 4 8 400M / FCRAM TM DDR SDRAM TC59LM814/06CFT t CK t

More information

HardCopy IIIデバイスの外部メモリ・インタフェース

HardCopy IIIデバイスの外部メモリ・インタフェース 7. HardCopy III HIII51007-1.0 Stratix III I/O HardCopy III I/O R3 R2 R SRAM RII+ RII SRAM RLRAM II R HardCopy III Stratix III LL elay- Locked Loop PLL Phase-Locked Loop On-Chip Termination HR 4 36 HardCopy

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

untitled

untitled FPGA SATA AE/ AVNET, INC. : 1921 : 1955 / : 1960 NYSE - AVT ( Sector : Technology ) CEO: Roy Vallee ( : : : 11,000 : KPMG LLP : 6 30 Fortune 500 ( 2006 212 ) InformationWeek 500 ( 2004 3 ) Fortune Top50

More information

Test

Test 1 39 41 199 Data Sheet Rev. 1.0 11.02.2003 200-pin DDR SDRAM Module SO-DIMM 1024MB DDR PC 2100 in COB 200-64- Small Outline Dual-In-Line. DRAM DDR- SDRAM : MICRON MT 46V 64M8 T17B V DD 2,5V ±0.2V, V DD

More information

untitled

untitled COPAL ELECTRONICS 32 (DP) DP INC 2 3 3 RH RL RWB 32 C S U/D INC U/D CS 2 2 DP7114 32 SOIC CMOS 2.5 V - 6.0 V / 10 kω 50 kω 100 kω TSSOP MSOP /BFR INC / U/D RH RoHS GND RWB RL CS VCC 2017 6 15 1 : R = 2

More information

HN58X2502/HN58X2504I

HN58X2502/HN58X2504I お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NE エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

HN58V256Aシリーズ/HN58V257Aシリーズ データシート

HN58V256Aシリーズ/HN58V257Aシリーズ データシート HN58V256A HN58V257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58V257A) RJJ03C0132-0600 Rev. 6.00 2007. 05. 24 HN58V256A HN58V257A 32768 8 EEPROM ROM MNOS CMOS 64 3V 2.7 5.5V 120ns (max)

More information

HN58C256A シリーズ/HN58C257A シリーズ データシート

HN58C256A シリーズ/HN58C257A シリーズ データシート HN58C256A HN58C257A 256k EEPROM (32-kword 8-bit) Ready/Busy and RES function (HN58C257A) RJJ03C0133-0600Z Rev. 6.00 2006. 10. 26 HN58C256A HN58C257A 32768 8 EEPROM ROM MNOS CMOS 64 5V±10% 85ns/100ns (max)

More information

FPGA と LUPO その1

FPGA と LUPO その1 FPGA Lecture for LUPO and GTO Vol. 1 2010, 31 August (revised 2013, 19 November) H. Baba Contents FPGA の概要 LUPO の基本的な使い方 New Project Read and Write 基本的な Behavioral VHDL simulation Firmware のダウンロード FPGA

More information

MAX191 EV J

MAX191 EV J -0; Rev ; / µ µ PART TEMP. RANGE BOARD TYPE MAXEVSYS-DIP 0 C to +0 C Through-Hole MAXEVKIT-DIP 0 C to +0 C Through-Hole 0CMODULE-DIP 0 C to +0 C Through-Hole Evaluates: MAX Maxim Integrated Products Evaluates:

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

R1EV5801MBシリーズ データシート

R1EV5801MBシリーズ データシート 1M EEPROM (128-kword 8-bit) Ready/Busy and function R10DS0209JJ0100 Rev.1.00 131072 8 EEPROM ROM MONOS CMOS 128 2.7V 5.5V 150ns (max) @ Vcc=4.5V 5.5V 250ns(max) @ Vcc=2.7V 5.5V 20mW/MHz (typ) 110µW (max)

More information

計算機ハードウエア

計算機ハードウエア 計算機ハードウエア 209 年度前期 第 5 回 前回の話 (SH745) (32 bit) コンピュータバスの構成 インタフェース (6 bit) I/O (Input/ Output) I/O (22 bit) (22 bit) 割り込み信号リセット信号 コンピュータバスは コンピュータ本体 () と そのコンピュータ本体とデータのやり取りをする複数の相手との間を結ぶ 共用の信号伝送路である クロック用クリスタル

More information

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ

LT 低コスト、シャットダウン機能付き デュアルおよびトリプル300MHz 電流帰還アンプ µ µ LT1398/LT1399 V IN A R G 00Ω CHANNEL A SELECT EN A R F 3Ω B C 97.6Ω CABLE V IN B R G 00Ω EN B R F 3Ω 97.6Ω V OUT OUTPUT (00mV/DIV) EN C V IN C 97.6Ω R G 00Ω R F 3Ω 1399 TA01 R F = R G = 30Ω f = 30MHz

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

Spartan3A Starter Kit による DDR2 SDRAM コントローラの実装

Spartan3A Starter Kit による DDR2 SDRAM コントローラの実装 Spartan3A Starter Kit による DDR2 SDRAM コントローラの 実 装 小 野 雅 晃 筑 波 大 学 システム 情 報 工 学 等 技 術 室 ( 装 置 開 発 班 ) 305-8573 茨 城 県 つくば 市 天 王 台 1-1-1 概 要 Spartan3A Starter Kit に 搭 載 されている FPGA (Field Programmable Gate

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

R1LV0816ASB データシート

R1LV0816ASB データシート R1LV0816ASB 5SI, 7SI 8Mb Advanced LPSRAM (512k word x 16bit) RJJ03C0292-0100 Rev.1.00 2009.11.30 概 要 R1LV0816ASB は シリコンゲート 0.15µm CMOS プロセス 技 術 を 用 いた 524,288 語 16 ビット 構 成 を 持 ち 単 一 電 源 で 動 作 する 非 同 期

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」

Nios® II HAL API を使用したソフトウェア・サンプル集 「Modular Scatter-Gather DMA Core」 ALTIMA Company, MACNICA, Inc Nios II HAL API Modular Scatter-Gather DMA Core Ver.17.1 2018 8 Rev.1 Nios II HAL API Modular Scatter-Gather DMA Core...3...3...4... 4... 5 3-2-1. msgdma... 6 3-2-2. On-Chip

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト

MAX IIデバイスのIEEE (JTAG)バウンダリ・スキャン・テスト 3. MAX II IEEE 49. JTAG MII54-.6 PCB PCB Bed-of-nails PCB 98 Joint Test Action Group JTAG IEEE Std. 49. BST PCB BST 3 3. IEEE Std. 49. Serial Data In Boundary-Scan Cell IC Pin Signal Serial Data Out Core

More information

R1RP0416DIシリーズデータシート

R1RP0416DIシリーズデータシート Wide Temperature Version 4M High Speed SRAM (256-kword 16-bit) データシート RJJ03C0097-0201 Rev.2.01 概要 R1RP0416DI シリーズは 256k ワード 16 ビット構成の 4M ビット高速スタティック RAM です CMOS(6 トランジスタメモリセル ) プロセス技術を採用し, 高密度, 高性能, 低消費電力を実現しました

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s)

XAPP1064 : ソース同期のシリアライズおよびデシリアライズ (最大 1050 Mb/s) アプリケーションノート : Spartan-6 FPGA XAPP1064 (v1.1) 2010 年 6 月 3 日 ソース同期のシリアライズおよびデシリアライズ ( 最大 1050Mb/s) 著者 : Nick Sawyer 概要 Spartan -6 は 入力 SerDes (ISERDES) および出力 SerDes () ブロックを備えています これらのプリミティブによって より高速な動作が実現されると同時に

More information

ATLAS 2011/3/25-26

ATLAS 2011/3/25-26 ATLAS 2011/3/25-26 2 LHC (Large Hadron Collider)/ATLAS LHC - CERN - s=7 TeV ATLAS - LHC 1 Higgs 44 m 44m 22m 7000t 22 m 3 SCT( ) SCT(SemiConductor Tracker) - - 100 fb -1 SCT 3 SCT( ) R eta=1.0 eta=1.5

More information

HyRAL®FPGA設計仕様書

HyRAL®FPGA設計仕様書 HyRAL Encryption FPGA HyRAL FPGA 2009/12/ 13 2 2010/01/11 3. FPGA 3.1. Const1, 2,3 3.3.ciphergen 3.3.6. 3.4. Decrypt 4 3 2010/01/26 1. i 1.... 1 2.... 1 2.1. FPGA... 1 2.2.... 1 2.3.... 1 2.4. IP... 1

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

Avalon Memory-Mappedブリッジ

Avalon Memory-Mappedブリッジ 11. Avalon emory-apped QII54020-8.0.0 Avalon emory-apped Avalon- OPC Builder Avalon- OPC Builder Avalon- OPC Builder Avalon-11 9 Avalon- Avalon- 11 12 Avalon- 11 19 OPC Builder Avalon emory-apped Design

More information

HN58X2402SFPIAG/HN58X2404SFPIAG

HN58X2402SFPIAG/HN58X2404SFPIAG お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

untitled

untitled LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977 6499 Tel: (845) 578 6020, Fax: (845) 578 5985 Internet: www.lecroy.com 2010 by LeCroy Corporation. All rights reserved. LeCroy and other

More information

Express5800/R110a-1Hユーザーズガイド

Express5800/R110a-1Hユーザーズガイド 4 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Xeon Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0B60: DIMM group #1 has been disabled. : Press to resume, to

More information

A0~A13 BA0, BA1 0~35 CS FN PD, L, U L, U V DD V SS V D V SSQ V REF NC TMS, TDI, TCK, TDO (+2.5 V) ( ) (+1.5V / +1.8 V) ( ) ( ) ( ) 2005-11-08 2/65

A0~A13 BA0, BA1 0~35 CS FN PD, L, U L, U V DD V SS V D V SSQ V REF NC TMS, TDI, TCK, TDO (+2.5 V) ( ) (+1.5V / +1.8 V) ( ) ( ) ( ) 2005-11-08 2/65 TC59LM836DKG-33,-40 MOS CMOS 288M FCRAM2 2,097,152 4 36 TC59LM836DKG CMOS 301,989,888 (FCRAM TM ) TC59LM836DKG 2,097,152 4 36bit / 600M / FCRAM TM DDR SDRAM TC59LM836DKG t CK ( ) TC59LM836DKG -33-40 4.5

More information

Untitled

Untitled R1LV0816ABG -5SI, 7SI 8Mb Advanced LPSRAM (512k word x 16bit) RJJ03C0295-0100 Rev.1.00 2009.12.14 R1LV0816ABG 0.15µm CMOS 524,288 16 RAM TFT R1LV0816ABG R1LV0816ABG 7.5mm 8.5mm BGA (f-bga [0.75mm, 48 ])

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

RMLV0816BGBG Datasheet

RMLV0816BGBG Datasheet 8Mbit 低 消 費 電 力 SRAM (512k word 16bit) R10DS0229JJ0200 Rev.2.00 概 要 RMLV0816BGBG は 524,288 ワード 16 ビット 構 成 の 8M ビットスタティック RAM です Advanced LPSRAM 技 術 を 採 用 し 高 密 度 高 性 能 低 消 費 電 力 を 実 現 しております したがって RMLV0816BGBG

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094100 Rev. A Seiko Epson Corporation 2015. All rights reserved. 2 3 4 5 6 Bluetooth 7 Bluetooth 8 1 9 Bluetooth 10 1 11 1 2 6 5 4 3 7 12 1 13 14 ONF 1 N O O N O N N N O F N N F N N N N N N F F O O

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

RMWV3216A Series Datasheet

RMWV3216A Series Datasheet 32Mbit 低 消 費 電 力 SRAM (2M word 16bit) R10DS0259JJ0100 Rev.1.00 概 要 RMWV3216A シリーズは 2,097,152 ワード 16 ビット 構 成 の 32M ビットスタティック RAM です Advanced LPSRAM 技 術 を 採 用 し 高 密 度 高 性 能 低 消 費 電 力 を 実 現 しております したがって RMWV3216A

More information

Fundamentals 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 0 1 1 0 0 0 0 1 1 1 0 0 0 1 0 1 1 1 1 0 1 0 1 1 1 0 0 0 1 0 1 1 0 1 0 1 0 0 1 0 1 1 1 0 1 1 0 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 0 1 1 0 0 0 0 1 1 1 0 0 0

More information

LTC ビット、200ksps シリアル・サンプリングADC

LTC ビット、200ksps シリアル・サンプリングADC µ CBUSY ANALOG INPUT 10V TO 10V 2. 2. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 V DIG V ANA PWRD BUSY CS R/C TAG SB/BTC DATA EXT/INT DATACLK DGND SY 28 27 26 25 24 23 22 21 20 19 18 17 16 15 10µF 0.1µF SERIAL INTERFACE

More information

R1LP5256E Series Datashet

R1LP5256E Series Datashet 256Kb Advanced LPSRAM (32k word x 8bit) R10DS0070JJ0100 Rev.1.00 概要 R1LP5256E シリーズは シリコンゲート 0.15µm CMOS プロセス技術を用いた 32,768 語 8 ビット構成を持ち 単一電源で動作する非同期式のスタティク RAM です メモリセルに TFT 技術を用い 高密度かつ低消費電力を実現したデバイスです

More information

R1LV3216R データシート

R1LV3216R データシート お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094106 Rev. G Seiko Epson Corporation 2015-2018. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 ... 71 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F N

More information

DS90LV V or 5V LVDS Driver/Receiver (jp)

DS90LV V or 5V LVDS Driver/Receiver (jp) DS90LV019 DS90LV019 3.3V or 5V LVDS Driver/Receiver Literature Number: JAJS563 DS90LV019 LVDS 1 / DS90LV019 Low Voltage Differential Signaling (LVDS) 1 CMOS / DS90LV019 EIA-644 IEEE1596.3 (SCI LVDS) 2

More information

R1WV6416R データシート

R1WV6416R データシート 64Mb Advanced LPSRAM (4M word x 16bit / 8M word x 8bit) 概要 RJJ03C0287-0100 Rev.1.00 2009.05.07 は シリコンゲート 0.15µm CMOS プロセス技術を用いた 4,194,304 語 16 ビット構成を持ち 単一電源で動作する非同期式のスタティク RAM です メモリセルに新規 TFT 技術を用い 高密度かつ低消費電力を実現したデバイスです

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

N Express5800/R320a-E4 N Express5800/R320a-M4 ユーザーズガイド

N Express5800/R320a-E4  N Express5800/R320a-M4  ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4, Express5800/R320b-M4ユーザーズガイド 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

5 1 2 3 4 5 6 7 8 9 10 11 12 1 132 CMOS Setup Utility - Copyright (C) 1984-2000 Award Software Power Management Setup ACPI Suspend Type S3 (STR) Power Management User Define Video Off Method DPMS Video

More information

橡EN1165.PDF

橡EN1165.PDF G780(7ZMMP-KK F1C) BIOS Setup 1 G780(7ZMMP-KK F1C) 2 G780(7ZMMP-KK F1C) 3 G780(7ZMMP-KK F1C) 4 G780(7ZMMP-KK F1C) 1st Boot Device 2nd Boot Device 3rd Boot Device S.M.A.R.T. for Hard Disks BootUp Num-Lock

More information

cpu2007lectureno2.ppt

cpu2007lectureno2.ppt Cache Cache Cache cache cache 17.10.2007 1 17.10.2007 2 Cache Register:FF circuits Cache:Bipolar,CMOS SRAM Main Storage:SRAM,DRAM Disk Cache:DRAM 17.10.2007 3 SRAM Cell Structure (1 bit) 17.10.2007 4 temporal

More information

untitled

untitled LatticeECP/EC LatticeXP LatticeEC TM LatticeECP TM LatticeXP TM isplever EBR PFU LatticeECP/EC LatticeXP sysmem RAM(EBR) PFU RAM RAM RAM ROM EBR LUT PFU RAM RAM ROM FIFO EBR RAM PFU RAM 2 isplever IPexpress

More information

MSM51V18165F

MSM51V18165F 1 電子デバイス MSM51V1165F 1,04,576-Word 16-Bit DYNAMIC RAM : EDO 機能付き高速ページモード 2000 10 MSM51V1165F CMOS 1,04,576 16 4 2 CMOS 42 SOJ 50/44 TSOP 1,04,576 16 3.3V 0.3V LVTTL LVTTL 1024 /16ms EDO!"# $"# $"# 42 400mil

More information

untitled

untitled LVDS 1 ( LVDS) / 50% 2 ( LVDS) / 50% 3 USB2.0 480Mbps Serial ATA Gen1 1.5Gbps PCI Express Gen1 2.5Gbps 4 Host Data Device Clock 5 Data Skew Host Data Device Clock Setup Hold Data Skew 6 Host Data Device

More information

1 124

1 124 7 1 2 3 4 5 6 7 8 9 10 11 12 1 124 Phoenix - AwardBIOS CMOS Setup Utility Integrated Peripherals On-Chip Primary PCI IDE [Enabled] IDE Primary Master PIO [Auto] IDE Primary Slave PIO [Auto] IDE Primary

More information

7 7

7 7 7 7 w w AmbientTempAlm00 AmbientTempAlm02 AmbientTempAlm07 AmbientTempAlm09 BMC Unsync BMC0 Not Ready BMC1 Not Ready Cor0 +12vAlm 00 Cor0 +12vAlm 02 Cor0 +12vAlm 07 Cor0 +12vAlm 09 Cor0 +2.5vAlm 00 Cor0

More information

R1LV1616Rシリーズ

R1LV1616Rシリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp)

ADC121S Bit, ksps, Diff Input, Micro Pwr Sampling ADC (jp) ADC121S625 ADC121S625 12-Bit, 50 ksps to 200 ksps, Differential Input, Micro Power Sampling A/D Converter Literature Number: JAJSAB8 ADC121S625 12 50kSPS 200kSPS A/D ADC121S625 50kSPS 200kSPS 12 A/D 500mV

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

CANON_IT_catalog_1612

CANON_IT_catalog_1612 Image processing products Hardware /Software MatroxRadient Pro CL 7 HDR-26 HDR-26 Data Clock CC [4] UART Data Clock CC [4] UART Camera Link Interface w/ PoCL Camera Link Interface w/ PoCL Image Reconstruction

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

TM-T88VI 詳細取扱説明書

TM-T88VI 詳細取扱説明書 M00109801 Rev. B 2 3 4 5 6 7 8 9 10 Bluetooth 11 12 Bluetooth 13 14 1 15 16 Bluetooth Bluetooth 1 17 1 2 3 4 10 9 8 7 12 5 6 11 18 1 19 1 3 4 2 5 6 7 20 1 21 22 1 23 24 1 25 SimpleAP Start SSID : EPSON_Printer

More information

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド

Express5800/R320a-E4/Express5800/R320b-M4ユーザーズガイド 7 7 障害箇所の切り分け 万一 障害が発生した場合は ESMPRO/ServerManagerを使って障害の発生箇所を確認し 障害がハー ドウェアによるものかソフトウェアによるものかを判断します 障害発生個所や内容の確認ができたら 故障した部品の交換やシステム復旧などの処置を行います 障害がハードウェア要因によるものかソフトウェア要因によるものかを判断するには E S M P R O / ServerManagerが便利です

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

TM-m30 詳細取扱説明書

TM-m30 詳細取扱説明書 M00094101 Rev. B Seiko Epson Corporation 2015-2016. All rights reserved. 2 3 4 5 6 7 8 Bluetooth 9 Bluetooth 10 1 11 Bluetooth 12 1 13 1 2 6 5 4 3 7 14 1 1 2 3 4 5 15 16 ONF 1 N O O N O N N N O F N N F

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp)

DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter (jp) DAC121S101 DAC121S101/DAC121S101Q 12-Bit Micro Power, RRO Digital-to-Analog Converter Literature Number: JAJSA89 DAC121S101 12 D/A DAC121S101 12 D/A (DAC) 2.7V 5.5V 3.6V 177 A 30MHz 3 SPI TM QSPI MICROWIRE

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

Express5800/320Fc-MR

Express5800/320Fc-MR 7 7 Phoenix BIOS 4.0 Release 6.0.XXXX : CPU=Pentium III Processor XXX MHz 0640K System RAM Passed 0127M Extended RAM Passed WARNING 0212: Keybord Controller Failed. : Press to resume, to setup

More information

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド

ザイリンクス UG382 Spartan-6 FPGA クロック リソース ユーザー ガイド Spartan-6 FPGA クロックリソース [Guide ユーザー Subtitle] ガイド [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the

More information

tutorial_lc.dvi

tutorial_lc.dvi 00 Linux v.s. RT Linux v.s. ART-Linux Linux RT-Linux ART-Linux Linux kumagai@emura.mech.tohoku.ac.jp 1 1.1 Linux Yes, No.,. OS., Yes. Linux,.,, Linux., Linux.,, Linux. Linux.,,. Linux,.,, 0..,. RT-Linux

More information