DesignRangeCheckExpr Insertion Discussion

Size: px
Start display at page:

Download "DesignRangeCheckExpr Insertion Discussion"

Transcription

1 C プログラマーのための Simulink 活用講座 ~Simulink を使った開発に迷うエンジニアへ ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア渡辺修治 2012 The MathWorks, Inc. 1

2 ショータくんは 何に悩んでいるの? 制御ロジックを理解したいけど データーフローが見にくい コードの全体像が把握できない ショータくん 制御ロジックを検証したいけど バグの発見に時間がかかる テストデータ漏れが見つかり うんざり 他にも悩みはあると思いますが 時々 2

3 と Simulink の書きっぷりを比較 /* Calc PID Controller */ FilterCoefficient = (DerivativeGain_Gain * Inport - Filter_DSTATE) * FilterCoefficient_Gain; PidOut = (ProportionalGain_Gain * Inport + Integrator_DSTATE) + FilterCoefficient; Simulink Simulink ではこれだけ /* Saturate */ if (PidOut >= Saturation_UpperSat) { Outport = Saturation_UpperSat; } else if (PidOut <= Saturation_LowerSat) { Outport = Saturation_LowerSat; } else { Outport = PidOut; } /*Update for DiscreteIntegrator */ Integrator_DSTATE += IntegralGain_Gain * Inport * Integrator_gainval; Filter_DSTATE += Filter_gainval * FilterCoefficient; 3

4 オーバーフローの検証方法を比較 /* Calc PID Controller */ FilterCoefficient = (DerivativeGain_Gain * Inport - Filter_DSTATE) * FilterCoefficient_Gain; ブレイクポイント PidOut = (ProportionalGain_Gain * Inport + Integrator_DSTATE) + FilterCoefficient; ステップ実行 Simulink Simulink ではクリックするだけ 名前 値 Filter_DSTATE Inport DerivativeGain_Gain FilterCoefficient_Gain FilterCoefficient 全ての信号範囲からオーバーフローを検出 デバッグモニタ 検証製品 :Simulink Design Verifier を使用 4

5 ご提案として 手軽にお試しできる方法を提案 制御ロジックの理解 誰でも書きやすく 読みやすい Simulink による設計手法 制御ロジックの検証 Simulink モデルの検証 ムズカシイことぬき! Simulink による開発手法を提案 5

6 初めての挑戦として モデルベースデザイン 入力デバイス 入力ドライバ OS/ スケジューラ 出力ドライバ 出力デバイス モデリング 検証 生成 プロセッサ / 周辺ハード 生成 6

7 モデルベースデザインのねらいとは? 工数 モデルベースデザインでやるべきこと 実機検証 工数 制御器の設計制御器の検証制御対象の設計仮想と実機の橋渡し 設計 設計 + 検証 実機検証 従来開発 ( 実機試験中心 ) 不具合修正コストが高い 設計抜け漏れが起こり易い 工程 モデルベースデザイン 工程 不具合修正コストが低い 設計抜け漏れを早期に発見 修正 8

8 モデルベースデザインでやるべきこと 制御器の設計 ロジック設計 古典現代制御設計 ロバスト制御設計 信号解析 パラメータチューニング 制御器の検証 シミュレーションチェック 形式検証 設計エラー検出 テストデータ生成 トレーサビリティ カバレッジ測定 開発基盤となる Simulink モデルが必要 制御対象の設計 物理モデル システム同定 統計モデリング パラメータ推定 ( 物理法則 / データドリブン ) 仮想と実機の橋渡し 自動 生成 固定小数点設計 9

9 このプレゼンテーションの最後には Simulink を使った開発に挑戦してみるか! と思っていただければ幸いです 10

10 プレゼンテーションの流れ 1 Cコードから関数を取り出す 2 関数をベースにSimulinkモデリング 3 Simulinkモデルの検証 4 SimulinkモデルからCコード生成 5 生成 Cコードの利用方法 11

11 1 から関数を取り出す 入力デバイス 入力ドライバ 出力ドライバ 出力デバイス OS/ スケジューラ プロセッサ / 周辺ハード 12

12 抜き出しやすい関数を見つけよう /****************************************************************************/ /* Function Name : task_4msec.c */ /* Summary : This task executes features at 4 msec rate */ /* Author : Name */ /****************************************************************************/ void Task_4ms( void ) { /* 処理記述 */ diagnostics_main() /* Diagnostics Main */ accel_pedal_position_main(); /* Accelerator Main */ accel_brake_management(); /* Accelerator And Brake Management */ driver_input_mode(); /* Driver Input Mode */ driver_demand_main(); /* Driver Demand Main */ cruise_control_main(); /* Cruise Control Main */ driver_vs_cruise_demand(); /* Arbitration Of Driver & Cruise */ : : 4ms タスク関数にある 1 つの関数 ( クルーズコントロール ) を Simulink 化していきたいと思います! 13

13 2 関数をベースに Simulink モデリング 入力デバイス 入力ドライバ 出力ドライバ 出力デバイス モデリング 検証 生成 OS/ スケジューラ プロセッサ / 周辺ハード 14

14 の関数を Simulink モデルへ >> をモデルに自動変換するツールはありますか? ans = 残念ながら自動変換ツールはございません ロジックをリファクタリングするきっかけになるかも SL:Simulink 15

15 vs. Simulink モデル 制御文のモデル化 if (num >= 1 && num < 2) { out = 10; } else 比較ブロック { out = 20; } 論理ブロック Simulink スイッチブロック switch(num) { case 1 : out = 10; break; case 2 : out = 20; break; default : out = 0; break; } マルチポートスイッチブロック SL:Simulink 16

16 vs. Simulink モデルと Stateflow 制御文のモデル化 for (num = 0; num < 10; num++) { Process; } Simulink/Stateflow フローチャート パターンを追加判定 (if-else if-else-if など ) ループ (for while dowhitle) switch(2 ケース 3 ケースなど ) カスタム ( ユーザー任意 ) SL:Simulink SF:Stateflow 17

17 vs. Simulink モデル 演算子のモデル化 四則演算子 Simulink 比較演算子 論理演算子 キャスト その他 積分ブロック 信号範囲制限 前回値参照 SL:Simulink 19

18 vs. Simulink モデル 関数のモデル化関数 :Subsystemブロック引数 :Inport Outportブロック Subsystem ブロック Inport ブロック SL:Simulink Outport ブロック 20

19 Simulink モデルの書き方 モデリングガイドラインの活用 Web:Documentation Center 23

20 Simulink モデリングのまとめ 壁を感じることなく Simulink のモデリングが可能 Simulink モデルのアドバンテージ データフローの可視化で 設計の効率化 高なブロックで アルゴリズムをスマートに表現 すぐにシミュレーション 作って & 試す をシームレス化 キーメッセージ Simulink は 書きやすく 読みやすいパワフルなグラフィカル開発ツール SL:Simulink 24

21 3 Simulink モデルの検証 入力デバイス 入力ドライバ 出力ドライバ 出力デバイス モデリング 検証 生成 OS/ スケジューラ プロセッサ / 周辺ハード 25

22 手戻りが少ないバグの発見とは? 本公演では B について紹介します A B バグを発見する行為 期待動作と異なる動きをしたときに バグの存在を疑う いくつもの原因調査を行い バグの存在が明らかになる バグを未然防止する行為 動作を行う前に 設計の誤りを見つけバグの存在が明らかになる ところで でも未然防止をやってみませんか? 26

23 3 Simulink モデルの検証番外編としてCコード検証 入力デバイス 入力ドライバ 出力ドライバ 出力デバイス モデリング 検証 生成 OS/ スケジューラ プロセッサ / 周辺ハード 既存の を検証しよう! 27

24 Polyspace による静的コード検証 C/C++ コードの実行時 ( ランタイム ) エラーを未然に防ぐ ゼロ割 オーバーフロー アンダーフロー デッドコード 不正なポインタ参照 配列の範囲外アクセス 不正な型変換に起因するエラー 未初期化変数の参照 無限ループ キーメッセージ Polyspace は エラー検出と共に 実行時エラーは起こらない も証明します 実行時エラーは起こらない 実行時エラー発生 デッドコード 実行時エラーの可能性あり PS:Polyspace 28

25 3 Simulink モデルの検証 入力デバイス 入力ドライバ 済 済 済 出力ドライバ 出力デバイス モデリング 検証 生成 OS/ スケジューラ プロセッサ / 周辺ハード モデルを検証しよう! 29

26 Simulink モデルの検証 モデルにあるバグを未然に防ぐ テストデータの抜け漏れを未然に防ぐ テストデータ コントローラ モニタモデル 環境 ドライバモデル 制御対象モデル ( モデル ) 30

27 モデルにあるバグを未然に防ぐ 目的 内容 ツール 設計に抜け漏れがあるか検証する 設計 ( モデル ) と要求 ( ドキュメント ) の関係を確認する トレーサビリティ :Simulink Verification & Validation 目的 内容 ツール 安全規格に準拠した設計か検証する モデルが ISO26262 に準拠しているか確認する モデルアドバイザー :Simulink Verification & Validation 目的 内容 ツール 実行したとき エラーが発生しないか検証する ランタイムエラー ( オーバーフロー ) を確認する 設計エラー検出 :Simulink Design Verifier デモ内容は ダウンロード資料のスライドで確認することができます 31

28 モデルとドキュメントのトレーサビリティ モデルからドキュメントへ 該当箇所が選択される クリック ドキュメントからモデルへ (Word/Excel/DOORS/Integrity ) ActiveX ボタン クリック 該当のモデル箇所がハイライト SLVV : Simulink Verification and Validation 32

29 モデルアドバイザー モデルのスタイルチェック 主なチェック内容 J-MAAB スタイルガイドライン適合チェック 安全認証 (IEC61508 / ISO26262 / DO-178B 関連チェック ) 要求リンクの整合性チェック SLVV : Simulink Verification and Validation 33

30 設計エラー検出 ランタイムエラー ( オーバーフロー 0 割 デッドロジック ) の検出 オーバーフロー 0 割 デッドロジックの検出 モデルの欠陥を検出 シミュレーションやテスト生成時の障害を回避することが可能 例 ) オーバーフロー 1 In1 2 In2 uint8 uint8 + + uint8 1 Out1 SLDV : Simulink Design Verifier 34

31 モデルにあるバグを未然に防ぐのまとめ トレーサビリティ 設計 ( モデル ) と要求 ( ドキュメント ) のあいだの抜け漏れを防ぐ モデルアドバイザー ISO26262 以外にも IEC61508 DO-178B モデリングルールのチェックで設計ミスを防ぐ 設計エラー検出 実行前に設計の誤り ( ランタイムエラー ) を防ぐ キーメッセージ モデリング後すぐに検証を行い バグの原因を見つけよう! SLDV : Simulink Design Verifier SLVV : Simulink Verification and Validation 36

32 テストデータの抜け漏れを未然に防ぐ 目的 内容 ツール テストデータに抜け漏れがないか検証する 既存のテストデータを取り込み テストデータがモデルのパスをすべて通ったか確認する カバレッジ測定 :Simulink Verification & Validation 目的 内容 ツール テスト漏れを補充するテストデータを作成する 補充テストデータを生成し 既存のテストデータにマージして カバレッジ 100% のテストデータを作成する テストデータ生成 :Simulink Design Verifier デモ内容は ダウンロード資料のスライドで確認することができます 37

33 外部から Simulink 環境への取り込み テストベクタを Simulink 上で表現する Excel の各シートに記載の信号から SignalBuilder ブロックを自動作成 Excel SignalBuilder SL:Simulink の標準 38

34 モデルカバレッジ測定 シミュレーション時に達成したモデルカバレッジを測定 SLVV : Simulink Verification and Validation 39

35 テストデータ生成 カバレッジ 100% のテストデータ生成 モデルの入出力関係を解析し 設定された カバレッジ を満たすテスト信号を自動生成する テスト信号の自動生成 Signal Builder によりテスト信号を作成 1 Simulink Design Verifier Simulink モデル 0 SLDV : Simulink Design Verifier 40

36 カバレッジ 未達カバレッジ補充テストデータ生成 既存テストデータが満たさないカバレッジを補充するテストデータを生成 既存テストデータファイル またはカバレッジファイルを指定 ユーザー定義シナリオ + 自動生成データの組み合わせによるテストが可能 テストデータ作成 既存テストを含む MAT ファイルを指定 自動テストで補完 工数 カバレッジファイルを指定 SLDV : Simulink Design Verifier 41

37 sldvmisscovtool の紹介 sldvmisscovtool とは Simulink Design Verifier のテスト生成を簡単に利用するためのツール ユーザーテストを取り込み未達成カバレッジに到達するテストベクタを自動生成する GUI MATLAB Central からダウンロード可能 で検索! 42

38 テストデータの抜け漏れを未然に防ぐのまとめ カバレッジ測定 テストデータの落とし穴をモデル上で防ぐ テストデータ生成 テストデータの抜け漏れを見つけ出し キーメッセージ 補充テストデータを自動で生成 テストデータの抜け漏れもチェックしようレアなテストパターンでバグが見つかるかも SLDV : Simulink Design Verifier SLVV : Simulink Verification and Validation 43

39 4 Simulink モデルから 生成 入力デバイス 入力ドライバ 出力ドライバ 出力デバイス モデリング 検証 生成 OS/ スケジューラ プロセッサ / 周辺ハード 生成 44

40 Embedded Coder による組込み用 生成の概要 Simulink データオブジェクト (ROM RAM 管理 ) Simulink モデル ( 制御ロジック ) コンフィグレーション パラメータ ( コード生成設定 ) Embedded Coder EC:Embedded Coder 45

41 生成の FAQ どのような が生成されるか? モデルと の比較方法 Simulink のコメントを へ追加する方法 値やポインタを用いて引数を生成する方法 オリジナル型 (typedef) の作り方 モデルと は一致しているか? モデルと の一致性検証の方法 マークはデモでご紹介します! EC:Embedded Coder 46

42 モデルと の比較 EC:Embedded Coder DEMO 47

43 Simulink ブロック説明の挿入 EC:Embedded Coder 48

44 引数の生成 EC:Embedded Coder 52

45 既存の型に ユーザー任意の型を命名 (typedef) MATLAB ワークスペースに F64 を定義 例 ) F64=Simulink.AliasType; F64.BaseType = double ; EC:Embedded Coder 57

46 モデルとコードの一致性検証 モデル カバレッジ 100% のテストベクタ 出力の比較 不一致が生じた場合 アサーション発生! ( シミュレーション停止 ) (S-Function) EC:Embedded Coder SLDV : Simulink Design Verifier DEMO 58

47 生成のまとめ コンフィグレーション操作で ユーザー任意のコード生成が可能 Simulink 環境による の検証が可能 キーメッセージ 生成以外にも ハンドコードを Simulink に取り込み 実行することも可能! Simulink は を完全サポート! EC:Embedded Coder 59

48 5 生成 の利用方法 入力デバイス 入力ドライバ OS/ スケジューラ 出力ドライバ 出力デバイス 生成 プロセッサ / 周辺ハード 60

49 生成 の利用方法 Main file を参考に C 言語環境へ結合 #include cruise_alg_cg.h void main(void) { } Cruise_alg_initialize(); while (1) { : ヘッダファイルの設定 初期化処理 サンプル main 関数 通常は使用しない void rt_onestep(void) { : /* Step the model */ Cruise_alg_step(); : 割込み処理関数 ステップ実行関数 ( モデルに相当 ) EC:Embedded Coder 61

50 完成 入力デバイス 入力ドライバ コード生成 出力ドライバ 出力デバイス OS/ スケジューラ プロセッサ / 周辺ハード 62

51 63

52 最後に みなさまの開発現場に貢献したく Simulinkモデルによる開発についてご相談ください モデルベースデザインに必要なオプション製品やアドバイザリーサービス トレーニングなどご紹介します お近くのソフト開発者へ この資料をお渡しください チームメンバーと共に検討いただければと思います MathWorks Japan アプリケーションエンジニア渡辺修治 67

53 ご清聴ありがとうございました MathWorks Japan アプリケーションエンジニア渡辺修治 2012 The MathWorks, Inc. MATLAB and Simulink are registered trademarks of The MathWorks, Inc. See for a list of additional trademarks. Other product or brand names may be trademarks or registered trademarks of their respective holders. 68

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

Tech Info share 2015 Q2 CDA Team 2

Tech Info share 2015 Q2 CDA Team 2 制 御 モデルを 活 用 した 量 産 / 組 み 込 みソフト 開 発 ソリューション MathWorks Japan アプリケーションエンジニアリング 部 ( 制 御 ) シニアアプリケーションエンジニア 山 本 順 久 2015 The MathWorks, Inc. 1 はじめに: 本 講 演 でお 話 しする 内 容 Simulinkモデルを 用 いた 量 産 / 組 み 込 み 制 御

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

目次 ペトリネットの概要 適用事例

目次 ペトリネットの概要 適用事例 ペトリネットを利用した状態遷移テスト 和田浩一 東京エレクトロン SDC FA グループ 目次 ペトリネットの概要 適用事例 ペトリネットの概要 - ペトリネットとは ペトリネット (Petri Net) とは カール アダム ペトリが 1962 年に発表した離散分散システムを数学的に表現する手法である 視覚的で 数学的な離散事象システムをモデル化するツールの一つである ペトリネットの概要 - ペトリネットの表記と挙動

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2017 年 5 月 15 日 東邦大学金岡晃 前回の復習 (1) このプログラムを作成し実行してください 1 前回の復習 (2) このプログラムを作成し実行してください 2 前回の復習 (3) 3 前回の復習 演算子 代入演算子 インクリメント シフト演算子 型変換 4 場合に応じた処理 5 こういうプログラムを作りたい 5 教科のテスト

More information

Managing and Sharing MATLAB Code

Managing and Sharing MATLAB Code MATLAB 入門 ~ 開発向けプログラミング編 ~ MathWorks Japan アプリケーションエンジニアリング部テクニカルコンピューティング 大開孝文 2015 The MathWorks, Inc. 1 プログラミング言語としての MATLAB 2014 年 7 月 IEEE Spectrum による プログラミング言語の人気調査 (12 種類の項目での結果 ) 結果 : MATLAB が

More information

Presentation Title

Presentation Title 並列計算 並列実行による高速化ソリューション MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア吉田剛士 2012 The MathWorks, Inc. 1 アジェンダ MATLAB R2012b ハイライト PCT / MDCS 新機能ハイライト Simulink プロダクトの並列化 まとめ 2 MATLAB R2012b のハイライト 1 新しいデスクトップ

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

Java講座

Java講座 ~ 第 1 回 ~ 情報科学部コンピュータ科学科 2 年竹中優 プログラムを書く上で Hello world 基礎事項 演算子 構文 2 コメントアウト (//, /* */, /** */) をしよう! インデントをしよう! 変数などにはわかりやすい名前をつけよう! 要するに 他人が見て理解しやすいコードを書こうということです 3 1. Eclipse を起動 2. ファイル 新規 javaプロジェクト

More information

モデルベースデザイン(MBD)を始めましょう!

モデルベースデザイン(MBD)を始めましょう! モデルベースデザイン (MBD) を始めましょう! MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア村上直也 2014 The MathWorks, Inc. 1 モデルベースデザイン (MBD) を始めましょう! ますます増えていくスマートシステム多機能なソフトウェアモデルベースデザイン開発プロセスを加速 2 はじめに スマートシステムの増加 ソフトの高機能化

More information

プログラミングA

プログラミングA プログラミング A 第 5 回 場合に応じた処理 繰り返し 2019 年 5 月 13 日 東邦大学金岡晃 場合に応じた処理 1 こういうプログラムを作りたい 5 教科のテスト 100 点以上各科目の点数の合計が 100 点未満 おめでとう! これで 100 点越えのプレゼントを獲得! というメッセージを出力 残念!100 点越えのプレゼントまであと ** 点! というメッセージを出力 5 教科の点数の合計が

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

slide5.pptx

slide5.pptx ソフトウェア工学入門 第 5 回コマンド作成 1 head コマンド作成 1 早速ですが 次のプログラムを head.c という名前で作成してください #include #include static void do_head(file *f, long nlines); int main(int argc, char *argv[]) { if (argc!=

More information

Microsoft Word - Training10_プリプロセッサ.docx

Microsoft Word - Training10_プリプロセッサ.docx Training 10 プリプロセッサ 株式会社イーシーエス出版事業推進委員会 1 Lesson1 マクロ置換 Point マクロ置換を理解しよう!! マクロ置換の機能により 文字列の置き換えをすることが出来ます プログラムの可読性と保守性 ( メンテナンス性 ) を高めることができるため よく用いられます マクロ置換で値を定義しておけば マクロの値を変更するだけで 同じマクロを使用したすべての箇所が変更ができるので便利です

More information

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx シーケンスに基づく検索モデルの検索精度について 東京工芸大学工学部コンピュータ応用学科宇田川佳久 (1/3) (2/3) 要員数 情報システム開発のイメージソースコード検索機能 他人が作ったプログラムを保守する必要がある 実務面での応用 1 バグあるいは脆弱なコードを探す ( 品質の高いシステムを開発する ) 2 プログラム理解を支援する ( 第 3 者が書いたコードを保守する ) 要件定義外部設計内部設計

More information

MATLAB®製品紹介セミナー

MATLAB®製品紹介セミナー MATLAB における分類 パターン認識 - 入門編 - MathWorks Japan アプリケーションエンジニアリング部 ( テクニカルコンピューティング部 ) アプリケーションエンジニア大開孝文 2012 The MathWorks, Inc. 1 アジェンダ 回帰モデルと分類モデルについて 分類手法を使ったワインの品質モデリング まとめ 2 分類手法を使ったワインの品質モデリング アプローチ

More information

変更の影響範囲を特定するための 「標準調査プロセス」の提案 2014年ソフトウェア品質管理研究会(30SQiP-A)

変更の影響範囲を特定するための 「標準調査プロセス」の提案  2014年ソフトウェア品質管理研究会(30SQiP-A) 変更の影響範囲を特定するための 標準調査プロセス の提案 2014 年ソフトウェア品質管理研究会 [ 第 6 分科会 A グループ ] リーダー : 宇田泰子 ( アンリツエンジニアリング株式会社 ) 夛田一成 ( アンリツエンジニアリング株式会社 ) 川井めぐみ ( サントリーシステムテクノロジー株式会社 ) 伊藤友一 (TIS 株式会社 ) 1. 研究の動機 研究員の現場では 調査を行なっているにも関わらず

More information

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~ .NET プログラマー早期育成ドリル VB 編 付録文法早見表 本資料は UUM01W:.NET プログラマー早期育成ドリル VB 編コードリーディング もしくは UUM02W:.NET プログラマー早期育成ドリル VB 編コードライティング を ご購入頂いた方にのみ提供される資料です 資料内容の転載はご遠慮下さい VB プログラミング文法早見表 < 基本文法 > 名前空間の定義 Namespace

More information

PowerPoint Presentation

PowerPoint Presentation MATLAB による 大規模フリートデータ解析 アプリケーションエンジニアリング部齊藤甲次朗 2015 The MathWorks, Inc. 1 アジェンダ はじめに ビッグデータ解析の課題 MATLAB を活用したフリートデータ解析事例 フリートデータ解析実践 デスクトップでの解析 クラスターへのスケールアウト MATLAB 解析のシステムへの統合 まとめ 2 25 GB / 1hour 4 フリートデータ解析を含むビッグデータ解析の課題

More information

Prog1_6th

Prog1_6th 2012 年 5 月 24 日 ( 木 ) 実施 多分岐のプログラム 前回は多段階の 2 分岐を組み合わせて 3 種類以上の場合分けを実現したが, 式の値の評価によって, 一度に多種類の場合分けを行う多分岐の利用によって見通しのよいプログラムを作成できる場合がある ( 流れ図は右図 ) 式の評価 : 値 1 : 値 2 : 値 n : 該当値無し 処理 1 処理 2 処理 n 既定の処理 switch

More information

Presentation Title

Presentation Title Simulink 環境で実施する ADAS( 先進運転支援システム ) 実験 MathWorks Japan アプリケーションエンジニアリング部制御 村上直也 2015 The MathWorks, Inc. 1 Agenda はじめに 弊社 ADAS 環境関連紹介 弊社 ADAS 関連製品を使用した DEMO ADAS 関連開発適用事例 まとめ 2 はじめに ADAS システムは今後もますます普及が進むと考えられる

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

PowerPoint Presentation

PowerPoint Presentation Simulink +Unreal Engine 連携による ADAS/ 自動運転シミュレーション環境 MathWorks Japan アプリケーションエンジニアリング部宮川浩 2015 The MathWorks, Inc. 1 Session Key Take Away MathWorks は 自動運転システム開発に必要な フルビークルモデルを提供します 3 自動車業界のメガトレンド Connectivity

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

Microsoft PowerPoint - PressPresen-AbsInt.pptx

Microsoft PowerPoint - PressPresen-AbsInt.pptx ET2016 プレス発表 ソフトウェアの実行時不具合を根絶する形式検証ツールチェーンの代理店販売開始!! 2016 年 11 月 16 日 株式会社ヴィッツ 機能安全開発部 設計 技術開発室兼プロセス支援室室長 水野智仁 本発表の概要 株式会社ヴィッツは AbsInt 社 ( ドイツ ) が開発する ソフトウェアの実行時不具合を根絶する形式検証ツールチェーン の代理店販売を開始しました 本ツールチェーンは

More information

CodeRecorderでカバレッジ

CodeRecorderでカバレッジ 株式会社コンピューテックス Copyright 2016 Computex Co.,Ltd. 2017.11 カバレッジ と 単体テスト カバレッジとは プログラムがどれだけ実行されているかを示す指標です プログラム全体に対して実行された比率をカバレッジ率で表します カバレッジの基準として 一般的にC0 C1が使われております C0カバレッジは 全体のうち何 % が実行されたかで求めます C1カバレッジは

More information

Si 知識情報処理

Si 知識情報処理 242311 Si, 285301 MS 第 12 回 竹平真則 takemasa@auecc.aichi-edu.ac.jp 2015/12/21 1 本日の内容 1. 先週のおさらい 2. PHP のスクリプトを実際に動かしてみる 3. RDB についての説明 2015/12/21 2 資料の URL http://peacenet.info/m2is 2015/12/21 3 注意事項 ( その

More information

ソフトウェアバグを根絶する静的コード解析

ソフトウェアバグを根絶する静的コード解析 ソフトウェアバグを根絶する静的コード解析 ~ 組込みシステムのセキュリティ脆弱性の検出と安全性を証明 ~ MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) アプリケーションエンジニア田中康博 2018 The MathWorks, Inc. 1 自動運転のサイバーセキュリティソフトウェアは安全性だけではなくセキュリティも重要 車載通信 & V2X 通信 通信の増加 V2I

More information

Microsoft PowerPoint - A1-2_株式会社ネクスト_藤澤正通_S _005.pptx

Microsoft PowerPoint - A1-2_株式会社ネクスト_藤澤正通_S _005.pptx SQiP シンポジウム 2012 ページオブジェクトパターンによる 動テストメンテナンスの効率化 株式会社ネクスト HOMEʼS事業本部 サービス推進部 品質管理グループ 藤澤 正通 FujisawaMasamichi@next-group.jp 1 動化への取組み 2011 年 4 : リグレッションテストの 動化検討を開始 6 :Selenium IDE を採 動化に着 7 : 機能カバレッジ

More information

3. 標準入出力

3. 標準入出力 Linux にログインして待っていること以下のサイトを開いておくこと http://www-it.sci.waseda.ac.jp/teachers/w483692/cpr1/ 4. 条件分岐 制御構文 (1) C プログラミング入門基幹 2 ( 月 4) 制御構造 control flow 逐次実行 o 関数は ブロック内の文を書かれた順に実行する 条件分岐 o 変数などがある条件を満たす場合だけ実行する

More information

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a

Microsoft Word - matlab-coder-code-generation-quick-start-guide-japanese-r2016a MATLAB コードを使用した C コードの生成クイックスタートガイド (R2016a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには [ ビルド ] を [ ソースコード ] [ スタティックライブラリ ] [ ダイナミックライブラリ ] または [ 実行ファイル ] のいずれかに切り替えます MATLAB Coder を使用することで MATLAB コードから

More information

Presentation Title

Presentation Title 次世代 Simulink が導入する 新 MBD 環境 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア山本順久 2012 The MathWorks, Inc. 1 これまでの歴史 5 年ぶりのメジャーバージョンアップ! 2002 R13 Simulink Stateflow 5.0 2004 R14 Simulink Stateflow 6.0

More information

フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに 本ツールの機能 yflowgen.exe の使い方 yflowgen.exe の実行方法 制限事項 生成したファイル (gml ファイル形式 ) の開

フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに 本ツールの機能 yflowgen.exe の使い方 yflowgen.exe の実行方法 制限事項 生成したファイル (gml ファイル形式 ) の開 フローチャート自動生成ツール yflowgen の使い方 目次 1 はじめに...2 2 本ツールの機能...2 3 yflowgen.exe の使い方...3 3.1 yflowgen.exe の実行方法...3 3.2 制限事項...3 3.3 生成したファイル (gml ファイル形式 ) の開き方...4 3.3.1 yed Graph Editor を使って開く...4 3.3.2 yed

More information

Microsoft PowerPoint - C言語の復習(配布用).ppt [互換モード]

Microsoft PowerPoint - C言語の復習(配布用).ppt [互換モード] if 文 (a と b の大きい方を表示 ) C 言語 Ⅰ の復習 条件判定 (if, 条件式 ) ループ (for[ 二重まで ], while, do) 配列 ( 次元 次元 ) トレース int a, b; printf( 整数 a: ); scanf( %d, &a); printf( 整数 b: ); scanf( %d, &b); //つのif 文で表現する場合間違えやすい どっちに =

More information

SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します のチェックツールをルネサスエレクトロニクス株式会社のホームページ

SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します のチェックツールをルネサスエレクトロニクス株式会社のホームページ SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します 1. 2. 4. のチェックツールをルネサスエレクトロニクス株式会社のホームページより入手できます http//tool-support.renesas.com/jpn/toolnews/shc/shcv7/dr_shcv7_4.html

More information

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63>

<4D F736F F D20438CBE8CEA8D758DC F0939A82C282AB2E646F63> C 言語講座第 2 回 作成 : ハルト 前回の復習基本的に main () の中カッコの中にプログラムを書く また 変数 ( int, float ) はC 言語では main() の中カッコの先頭で宣言する 1 画面へ出力 printf() 2 キーボードから入力 scanf() printf / scanf で整数を表示 / 入力 %d 小数を表示 / 入力 %f 3 整数を扱う int 型を使う

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

第 3 回 TERAS 成果報告会 TERAS V3 紹介と今後の展開 Tool Environment for Reliable and Accountable Software 一般社団法人 TERAS 理事開発委員長渡辺政彦 2014 年 3 月 12 日

第 3 回 TERAS 成果報告会 TERAS V3 紹介と今後の展開 Tool Environment for Reliable and Accountable Software 一般社団法人 TERAS 理事開発委員長渡辺政彦 2014 年 3 月 12 日 第 3 回 TERAS 成果報告会 TERAS V3 紹介と今後の展開 Tool Environment for Reliable and Accountable Software 一般社団法人 TERAS 理事開発委員長渡辺政彦 2014 年 3 月 12 日 最新 TERAS V3 2011 年度 Ver.1 2012 年度 Ver.2 2013 年度 Ver.3 成果物間リンク - ファイル単位

More information

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法 ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイル作成ツール mknetdevconf-tool-0300-1 本ファイルでは ServerView Resource Orchestrator V3.0 で使用する ネットワーク構成情報ファイル作成ツール の動作条件 使用方法 およびその他の重要な情報について説明しています 本ツールを使用する前に必ず最後まで目を通すようお願いします

More information

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ

生成された C コードの理解 コメント元になった MATLAB コードを C コード内にコメントとして追加しておくと その C コードの由来をより簡単に理解できることがよくありま [ 詳細設定 ] [ コード外観 ] を選択 C コードのカスタマイズ より効率的な C コードを生成するベストプラクテ MATLAB コードを使用した C コードの生成クイックスタートガイド (R2012a) 最初のスタンドアロン C コードの生成 スタンドアロン C コードを生成するには MATLAB Coder のペインを [ ビルド ] に切り替えて [C/C++ スタティックライブラリ ] [C/C++ ダイナミックライブラリ ] または [C/C++ 実行ファイル ] のいずれかを選択しま MATLAB Coder

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc.

MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. MATLAB ではじめる画像処理とロボットビジョン ~ 機械学習による物体認識と SLAM~ MathWorks Japan アプリケーションエンジニアリング部信号処理 通信 木川田亘 2015 The MathWorks, 1Inc. ロボットビジョンとは ロボットに搭載することを目的としたコンピュータービジョン技術の一分野 標識認識などさまざまな環境下での物体認識や複雑なシーンの理解 未知の領域を探索する際にロボット自身の位置推定と地図作成(SLAM)

More information

Microsoft PowerPoint - 計算機言語 第7回.ppt

Microsoft PowerPoint - 計算機言語 第7回.ppt 計算機言語第 7 回 長宗高樹 目的 関数について理解する. 入力 X 関数 f 出力 Y Y=f(X) 関数の例 関数の型 #include int tasu(int a, int b); main(void) int x1, x2, y; x1 = 2; x2 = 3; y = tasu(x1,x2); 実引数 printf( %d + %d = %d, x1, x2, y);

More information

Microsoft PowerPoint - lec10.ppt

Microsoft PowerPoint - lec10.ppt 今日の内容, とポインタの組み合わせ, 例題 1. 住所録例題 2. と関数とは. を扱う関数. 例題 3. のリスト とポインタの組み合わせ 今日の到達目標 自分で を定義する 自分で定義したについて, 配列やポインタを作成する データ型 基本データ型 char 文字 (1 文字 ) int 整数 double 浮動小数など その他のデータ型配列 データの並び ( 文字列も, 文字の並び ) ポインタ

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の break; まで処理しますどれにも一致致しない場合 def

Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の break; まで処理しますどれにも一致致しない場合 def Java プログラミング Ⅰ 7 回目 switch 文と論理演算子 今日の講義講義で学ぶ内容 switch 文 論理演算子 条件演算子 条件判断文 3 switch 文 switch 文 式が case のラベルと一致する場所から直後の まで処理しますどれにも一致致しない場合 default: から直後の まで処理します 式の結果 ラベル 定数 整数または文字 (byte, short, int,

More information

Section1_入力用テンプレートの作成

Section1_入力用テンプレートの作成 入力用テンプレートの作成 1 Excel には 効率よく かつ正確にデータを入力するための機能が用意されています このセクションでは ユーザー設定リストや入力規則 関数を利用した入力用テンプレートの作成やワークシート操作について学習します STEP 1 ユーザー設定リスト 支店名や商品名など 頻繁に利用するユーザー独自の連続データがある場合には ユーザー設定リスト に登録しておけば オートフィル機能で入力することができ便利です

More information

Presentation Title

Presentation Title データの本質を読み解くための機械学習 MATLAB でデータ解析の課題に立ち向かう MathWorks Japan アプリケーションエンジニア部アプリケーションエンジニア井原瑞希 2016 The MathWorks, Inc. 1 Buzzwords IoT 人工知能 / AI データ解析 ビッグデータ 2 データ解析ワークフロー データへのアクセスと探索 データの前処理 予測モデルの構築 システムへの統合

More information

Taro-リストⅠ(公開版).jtd

Taro-リストⅠ(公開版).jtd 0. 目次 1. 再帰的なデータ構造によるリストの表現 1. 1 リストの作成と表示 1. 1. 1 リストの先頭に追加する方法 1. 1. 2 リストの末尾に追加する方法 1. 1. 3 昇順を保存してリストに追加する方法 1. 2 問題 問題 1 問題 2-1 - 1. 再帰的なデータ構造によるリストの表現 リストは データの一部に次のデータの記憶場所を示す情報 ( ポインタという ) を持つ構造をいう

More information

Microsoft PowerPoint - 説明3_if文switch文(C_guide3)【2015新教材対応確認済み】.pptx

Microsoft PowerPoint - 説明3_if文switch文(C_guide3)【2015新教材対応確認済み】.pptx 情報ネットワーク導入ユニット Ⅰ C 言語 if 文 switch 文 3 章 : プログラムの流れの分岐 if 文 if( 条件 ) 条件が成立すれば実行 if( 条件 ) ~ else 場合分け ( 成立, 不成立 ) if( 条件 A) ~ else if( 条件 B) ~ else if( 条件 C) ~ else 場合分け ( 複数の条件での場合分け ) 等価演算子 : == ( 等しい

More information

Copyright 2014 NTT DATA Corporation 2 INDEX 1. 一括請求 Assist とは 1-1. でんさいに係るサービスの関係性 1-2. 一括請求 Assist の必要性 1-3. 一括請求 Assist の特長 2. 機能紹介 2-1. 一括請求 Assist

Copyright 2014 NTT DATA Corporation 2 INDEX 1. 一括請求 Assist とは 1-1. でんさいに係るサービスの関係性 1-2. 一括請求 Assist の必要性 1-3. 一括請求 Assist の特長 2. 機能紹介 2-1. 一括請求 Assist Copyright 2014 NTT DATA Corporation 一括請求 Assist のご案内 株式会社 NTT データ株式会社 NTT データフロンティア Copyright 2014 NTT DATA Corporation 2 INDEX 1. 一括請求 Assist とは 1-1. でんさいに係るサービスの関係性 1-2. 一括請求 Assist の必要性 1-3. 一括請求 Assist

More information

Prog1_12th

Prog1_12th 2013 年 7 月 4 日 ( 木 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

スライド 1

スライド 1 アノテーション自動表示によるモデル可読性向上への取り組み 2014 年 12 月 18 日アイシン エィ ダブリュ株式会社技術本部第 2 制御技術部主席研究員久保孝行 説明の流れ アノテーション表示が必要な背景 アノテーション表示に使用する技術の紹介 SimulinkAPI 最初の実施内容の紹介 改善内容の紹介 応用事例 まとめ その他 ( 時間があれば ) 1 背景 C 言語同様 Simulinkを用いたモデル記述もスタイルガイドに準じたモデリングを行います

More information

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk

2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk 2D/3D CAD データ管理導入手法実践セミナー Autodesk Vault 最新バージョン情報 Presenter Name 2013 年 4 月 2013 Autodesk Autodesk Vault 2014 新機能 操作性向上 Inventor ファイルを Vault にチェックインすることなくステータス変更を実行できるようになりました 履歴テーブルの版管理を柔軟に設定できるようになりました

More information

MATLAB EXPO 2014 Dry Run 0 モデル検証&Polyspace

MATLAB EXPO 2014 Dry Run 0 モデル検証&Polyspace Polyspace によるソフト不具合修正のフロントローディング MathWorks Japan Application Engineering アプリケーションエンジニアリング部 Application Engineer アプリケーションエンジニア Fred Noto 能戸フレッド 2014 The MathWorks, Inc. 1 Polyspace の静的解析ソリューション Polyspace

More information

24th Embarcadero Developer Camp

24th Embarcadero Developer Camp 17 Th Developer Camp B4 Delphi/C++Builder テクニカルワークショップ Delphi / C++Builder 旧バージョンアプリケーションの移行 エンバカデロ テクノロジーズサポートチーム with 高橋智宏 1 17 Th Developer Camp Delphi Q1 2 midas.dll Q. 別々のバージョンで作成したデータベースアプリケーションがあります

More information

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ

C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ C プログラミング演習 1( 再 ) 2 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 今回のプログラミングの課題 次のステップによって 徐々に難易度の高いプログラムを作成する ( 参照用の番号は よくわかる C 言語 のページ番号 ) 1. キーボード入力された整数 10 個の中から最大のものを答える 2. 整数を要素とする配列 (p.57-59) に初期値を与えておき

More information

Slide 1

Slide 1 OpenFoam のための C/C++ 第 3 回 OpenFoam で勉強るテンプレート 田中昭雄 1 目的 この勉強会の資料があれば OpenFoam カスタマイズ時に C/C++ で迷わない 2 予定 第 1 回メモリ管理 第 2 回 CFDの例で勉強するクラス 第 3 回 OpenFOAMで勉強するテンプレート 第 4 回 OpenFOAMカスタマイズ 第 5 回未定 第 6 回未定 3 今回のテーマ

More information

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx PLCopen / OPC UA 通信仕様と PLCopen XML の紹介 ~PLC プログラムの生産システムエンジニアリング連携に向けて ~ Industrial Automation Forum - Forum 2015 PLCopen Japan Page 1 PLCopen の主な取り組み PLCopen は IEC 61131-3 プログラミングの普及促進と関連技術仕様の策定を う 営利団体

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

メソッドのまとめ

メソッドのまとめ メソッド (4) 擬似コードテスト技法 http://java.cis.k.hosei.ac.jp/ 授業の前に自己点検以下のことがらを友達に説明できますか? メソッドの宣言とは 起動とは何ですか メソッドの宣言はどのように書きますか メソッドの宣言はどこに置きますか メソッドの起動はどのようにしますか メソッドの仮引数 実引数 戻り値とは何ですか メソッドの起動にあたって実引数はどのようにして仮引数に渡されますか

More information

ゲートウェイのファイル形式

ゲートウェイのファイル形式 CHAPTER 47 Cisco Unified Communications Manager 一括管理 (BAT) を使用すると シスコのを Cisco Unified Communications Manager データベースに一括して設定できます 次のトピックでは これらのタスクの情報とについて説明します の検索 の設定 の検索 を検索するは 次のとおりです ステップ 1 [ 一括管理 ]>[

More information

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdiu.h> #define InFile "data.txt" #define OutFile "surted.txt" #def

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdiu.h> #define InFile data.txt #define OutFile surted.txt #def C プログラミング演習 1( 再 ) 6 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include #define InFile "data.txt" #define OutFile "surted.txt"

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

* ライブラリ関数 islower(),toupper() を使ったプログラム 1 /* 2 Program : trupper.c 3 Student-ID : K 4 Author : TOUME, Kouta 5 Comments : Used Library function i

* ライブラリ関数 islower(),toupper() を使ったプログラム 1 /* 2 Program : trupper.c 3 Student-ID : K 4 Author : TOUME, Kouta 5 Comments : Used Library function i 1. ライブラリ関数 islower(), toupper() を使い 下記の trlowup プログラムを書き換えて 新規に trupper プログラムを作成せよ * サンプルプログラム 1 /* 2 Program : trlowup.c 3 Comments : translate lower case characters into upper case ones. 4 */ 5 6 #include

More information

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n

コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n コンピュータ工学講義プリント (7 月 17 日 ) 今回の講義では フローチャートについて学ぶ フローチャートとはフローチャートは コンピュータプログラムの処理の流れを視覚的に表し 処理の全体像を把握しやすくするために書く図である 日本語では流れ図という 図 1 は ユーザーに 0 以上の整数 n を入力してもらい その後 1 から n までの全ての整数の合計 sum を計算し 最後にその sum

More information

RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用

RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用 RX ファミリ用 C/C++ コンパイラ V.1.00 Release 02 ご使用上のお願い RX ファミリ用 C/C++ コンパイラの使用上の注意事項 4 件を連絡します #pragma option 使用時の 1 または 2 バイトの整数型の関数戻り値に関する注意事項 (RXC#012) 共用体型のローカル変数を文字列操作関数で操作する場合の注意事項 (RXC#013) 配列型構造体または共用体の配列型メンバから読み出した値を動的初期化に用いる場合の注意事項

More information

2006年10月5日(木)実施

2006年10月5日(木)実施 2010 年 7 月 2 日 ( 金 ) 実施 ファイル処理ファイルとはファイル (file) は日常用語では紙などを綴じたものを表すが, コンピュータ用語ではデータの集合体を指す言葉である ファイルは例えば, 文書ファイルやプログラムファイルのように, 用途によって分類されることもあれば, また, テキストファイルやバイナリファイルのように, ファイルの作り方によって分類されることもある なお,

More information

Python によるジオプロセシング スクリプト入門

Python によるジオプロセシング スクリプト入門 アイコンの説明... 8 第 1 章イントロダクション... 9 本コースの目的... 11 講習内容... 11 講習資料... 12 イントロダクション... 12 ArcGIS... 13 Web サイト... 13 開発者向けプログラム / サービス... 14 演習 1: 演習環境の構築... 15 ステップ 1: Windows へのログオン ( オプション )... 15 ステップ 2:

More information

プログラミング入門1

プログラミング入門1 プログラミング入門 1 第 5 回 繰り返し (while ループ ) 授業開始前に ログオン後 不要なファイルを削除し て待機してください Java 1 第 5 回 2 参考書について 参考書は自分にあったものをぜひ手元において自習してください 授業の WEB 教材は勉強の入り口へみなさんを案内するのが目的でつくられている これで十分という訳ではない 第 1 回に紹介した本以外にも良書がたくさんある

More information

プレポスト【解説】

プレポスト【解説】 コース名 : シェルの機能とプログラミング ~UNIX/Linux の効率的使用を目指して ~ 1 UNIX および Linux の主な構成要素は シェル コマンド カーネルです プロセスとは コマンドやプログラムを実行する単位のことなので プロセスに関する記述は誤りです UNIX および Linux のユーザーインターフェースは シェル です コマンドを解釈するという機能から コマンドインタープリタであるともいえます

More information

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx

Microsoft PowerPoint - EXPO2012_AKASAKA_rev.2.pptx リアルタイム制御環境を活用したフィードバック制御系設計実践 MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア赤阪大介 212 The MathWorks, Inc. 1 本講演では.. ギャップ? - フィードバック制御器の設計 設計 シミュレーション リアルタイム制御テスト ( ラピッドプロトタイピング ) 実機環境を活用し 制御系設計を効率的に行うには?

More information

バイオプログラミング第 1 榊原康文 佐藤健吾 慶應義塾大学理工学部生命情報学科

バイオプログラミング第 1 榊原康文 佐藤健吾 慶應義塾大学理工学部生命情報学科 バイオプログラミング第 1 榊原康文 佐藤健吾 慶應義塾大学理工学部生命情報学科 ポインタ変数の扱い方 1 ポインタ変数の宣言 int *p; double *q; 2 ポインタ変数へのアドレスの代入 int *p; と宣言した時,p がポインタ変数 int x; と普通に宣言した変数に対して, p = &x; は x のアドレスのポインタ変数 p への代入 ポインタ変数の扱い方 3 間接参照 (

More information

プログラミング実習I

プログラミング実習I プログラミング実習 I 05 関数 (1) 人間システム工学科井村誠孝 m.imura@kwansei.ac.jp 関数とは p.162 数学的には入力に対して出力が決まるもの C 言語では入出力が定まったひとまとまりの処理 入力や出力はあるときもないときもある main() も関数の一種 何かの仕事をこなしてくれる魔法のブラックボックス 例 : printf() 関数中で行われている処理の詳細を使う側は知らないが,

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

Using VectorCAST/C++ with Test Driven Development

Using VectorCAST/C++ with Test Driven Development ホワイトペーパー V2.0 2018-01 目次 1 はじめに...3 2 従来型のソフトウェア開発...3 3 テスト主導型開発...4 4...5 5 TDD を可能にするテストオートメーションツールの主要機能...5 5.1 テストケースとソースコード間のトレーサビリティー...5 5.2 テストケースと要件間のトレーサビリティー...6 6 テスト主導型開発の例...7 2 1 はじめに 本書では

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

Microsoft Word - 実験テキスト2005.doc

Microsoft Word - 実験テキスト2005.doc 7. プロセスの動特性 [Ⅰ] 目的液レベル制御実験および同シミュレーションを通して ステップ応答に基づくプロセス伝達関数の同定方法 ステップ応答法による PI 制御パラメータの調整方法 および PI 制御パラメータが制御性能へ与える影響について習熟する さらに 制御シミュレーションを通して むだ時間を有するプロセスに対するスミス補償型制御の有効性を確認する [Ⅱ] 理論 2.1 ステップ応答実験による伝達関数の同定

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

CW6_A1441_15_D06.indd

CW6_A1441_15_D06.indd 技術紹介 EPS 用 ECU 試作開発における MBD の適用 小林将之 1 はじめに 従来の組込み制御システム開発の多くは, ドキュメントベースの設計とハンドコーディングにより行われてきた. しかしながら, 自動車分野を中心に電子制御システムの高性能 多機能化が進む一方, 高品質 低コストかつ開発期間の短縮化が要求されている.KYBの代表的な電子制御システムの一つである電動パワーステアリング (

More information

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタケシ ) 対象者 : 実機レス環境でモーター含むメカ制御プログラムの設計 および検証 学習をしてみたい方

More information

Microsoft PowerPoint - class04.ppt

Microsoft PowerPoint - class04.ppt フローチャート フローチャートとは プログラムの処理の流れを整理し 図的に順序立てて描いたもの 流れ図流れ図ともいう 例 : 始め 半径 R 端子 : 開始 終了 停止などを示す 手操作入力 : キーボードなどから手で操作して入力することを示す 面積 S πr 2 処理 : あらゆる種類の処理を示す S 終わり 表示 : ディスプレイ表示を示す このようにフローチャートでは 記号形状自体が処理の意味を示している

More information

RaQuest MindManager

RaQuest MindManager How to use MindManager Add-in with RaQuest by SparxSystems Japan 1. はじめに このドキュメントでは 要求管理ツール RaQuest と 連携するマインドマップツールで ある MindManager の 2 つのソフトウェアを活用し ソフトウェアシステムの設計開発に おける要求分析および管理を効率化する方法についてご紹介します 2.

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdio.h> #define InFile "data.txt" #define OutFile "sorted.txt" #def

関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include <stdio.h> #define InFile data.txt #define OutFile sorted.txt #def C プログラミング演習 1( 再 ) 6 講義では C プログラミングの基本を学び 演習では やや実践的なプログラミングを通して学ぶ 関数の呼び出し ( 選択ソート ) 選択ソートのプログラム (findminvalue, findandreplace ができているとする ) #include #define InFile "data.txt" #define OutFile "sorted.txt"

More information

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1 自習 & ハンズオントレーニング資料 System Recovery 18 ファイルのリストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス本部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく行われることもあります なお 当ドキュメントの内容は参考資料として

More information

4 月 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プロ

4 月 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プロ 4 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プログラミング技術 工業 333 実教出版 ) 共通 : 科目 プログラミング技術 のオリエンテーション プログラミング技術は

More information

AWS Client VPN - ユーザーガイド

AWS Client VPN - ユーザーガイド AWS Client VPN ユーザーガイド AWS Client VPN: ユーザーガイド Copyright 2019 Amazon Web Services, Inc. and/or its affiliates. All rights reserved. Amazon's trademarks and trade dress may not be used in connection with

More information