Quartus II - TimeQuest クイック・ガイド

Size: px
Start display at page:

Download "Quartus II - TimeQuest クイック・ガイド"

Transcription

1 Quartus II TimeQuest クイック ガイド ver 年 6 月 1. はじめに この資料は Quartus II のタイミング解析エンジン TimeQuest の基本的な操作方法をご紹介しています TimeQuest は 独立したツールとして高性能なタイミング解析を行えるだけでなく Quartus II に対して TimeQuest の解析結果に基づいた配置配線を実行させることもできます タイミング制約の記述に業界標準となっている Synopsys Design Constrains (SDC) フォーマットを用いることで 今までより複雑な制約設定が可能です 例えば 従来は難しかったソース シンクロナスの出力側のタイミング設定を一貫した方法で行うことができます また TimeQuest の SDC エディタを活用すると GUI ベースで簡単に SDC コマンドを記述することが可能なため SDC コマンドを初めて記述するユーザも手軽にタイミング制約を作成できます Quartus II の TimeQuest タイミング アナライザでサポートされるデバイス ファミリは Cyclone シリーズ Stratix シリーズ MAX II HardCopy シリーズ Arria シリーズです MAX7000 MAX3000 シリーズは TimeQuest をサポートしていないため Classic Timing Analyzer をご利用ください 2. 操作方法 この資料では すでに Quartus II のプロジェクトが作成 起動されていることを前提にしています プロジェクトの作成が未完了である場合には プロジェクトを作成し起動してください ( 参考資料 : FPGA/CPLD 関連アルティマ情報サイト EDISON より Quartus II はじめてガイド - プロジェクトの作成 ) TimeQuest は 配置配線後にタイミング解析のみを行う場合と タイミング制約を与えて Quartus II に配置配線を行わせる場合 ( その後の解析も必要 ) との 2 通りの活用方法があります ここでは それぞれの場合について操作手順を解説します 2-1. TimeQuest でタイミング解析のみを行う手順 操作フローの概要は以下のとおりです コンパイル実行 TimeQuest 起動 タイミング ネットリスト (post-fit) 生成 SDC ファイル (I/O 制約 ) 作成 SDC ファイル ( クロック制約 ) 作成 タイミング ネットリスト更新 SDC ファイル読み込み レポート生成 Page 1 of 22 Altima Corporation

2 (1) コンパイル実行 TimeQuest でタイミング解析を行う場合には プロジェクト デザインにおいて配置配線が完了している必要があります 以下のいずれかの方法でコンパイルを実行してください すでにコンパイルが完了している場合には 次のステップ ((2) TimeQuest 起動 ) へ進んでください ボタンをクリック Processing メニュー Start Compilation をクリック Tasks ウィンドウ Compile Design をダブルクリック (2) TimeQuest 起動 1 TimeQuest Timing Analyzer を起動します Task ウィンドウ TimeQuest Timing Analyzer TimeQuest Timing Analyzer をダブルクリックします 以下のメッセージが表示された場合には いいえ (N) をクリックしてください 以下のメッセージは.qsf ファイルから.sdc ファイルを生成するかどうかを聞いています すでに従来のタイミング制約 (Classic Timing Analyzer) を設定している場合は はい (Y) を選択すると.qsf ファイルの内容が.sdc へ引き継がれます TimeQuest Timing Analyzer が起動します TimeQuest の GUI は 4 つの領域 ( ペイン ) に分割されています Report ペイン View ペイン Tasks ペイン Console ペイン ver 年 6 月 Page 2 of 22 Altima Corporation

3 Tasks ペイン TimeQuest の良く使用する実行コマンドがリスト化されています 各コマンドを選択し ダブルクリックで実行できます Report ペイン作成したレポートのリストが表示されます View ペイン各種レポートの内容を表示します Console ペインコマンド実行時にメッセージを表示します また Tcl SDC コマンドを入力できます (3) タイミング ネットリスト作成 TimeQuest を使用するために タイミング ネットリストを作成します タイミング ネットリストには Post-map( 論理合成後 ) と Post-fit( 配置配線後 ) の 2 種類あります ここでは配置配線後の解析なので Post-fit を使用します 1 Netlist メニュー Create Timing Netlist を選択します (Create Timing Netlist ダイアログ ボックスが表示されます ) 2 Create Timing Netlist ダイアログ ボックスでは 以下のオプションが選択できます Input netlist 使用するタイミング ネットリストの種類を選択します Post-fit : 配置配線後のネットリストを使用します ( ここでは Post-fit を選択します ) Post-map : 論理合成後のネットリストを使用します Delay model タイミング モデルの種類を選択します Slow corner : ワーストケースのタイミング モデル (Max Delay) Speed grade : スピードグレードを選択します ( デバイスの設定が Auto の場合は表示されません ) Fast corner Zero IC delays : ベストケースのタイミング モデル (Minimum Delay) : チェックを入れると配線遅延なし ネットリストの設定 タイミング モデルの設定 tcl コマンドの表示 ver 年 6 月 Page 3 of 22 Altima Corporation

4 もし TimeQuest 内の Tasks ペインからダブルクリックで実行する場合には オプションは以下の設定内容になります Post-fit Slow corner Speed grade = Quartus II で選択したグレード (4) SDC ファイル ( クロック制約 ) 作成クロックの設定や入力 出力の遅延情報の設定するための SDC ファイルを作成します ( すでに必要な制約を全て記述した SDC ファイルがある場合には このステップは必要ありません (7) タイミング ネットリスト更新 へ進んでください ) File メニュー New SDC File を選択し 新規 SDC ファイル エディタを起動します File メニュー Save As により ファイルを保存します ファイル名は任意ですが プロジェクト リビジョン名にしておくと自動で読み込まれます クロック制約には 2 タイプ (Clock と Generated Clock) あります それらの SDC コマンドを作成する際に TimeQuest の SDC ファイル エディタの GUI 入力手法を活用すれば 簡単にコマンドを入力することができます 入力クロック (Clock) デザインの絶対クロックまたは基本クロックの設定を作成します 1 SDC ファイル エディタの Edit メニュー Insert Constraint Create Clock を選択します 2 Create Clock ダイアログ ボックスが表示されますので クロックの設定をします Clock name Period : クロックの設定名 ( 任意 ) を入力します : クロック周波数を入力します ( 単位は ns) Waveform edges : クロックの立ち上がりエッジ 立ち下がりエッジ時間を入力します (Duty サイクルの設定 ) Rising Falling : 立ち上がりエッジ時間 : 立ち下がりエッジ時間 省略した場合は Offset = 0 Duty = 50% のクロックになります Targets : Name Finder でクロック設定を適用する信号を選択します ( 複数の信号を選択可能です ) Name Finder の使用方法については 5 ページをご覧ください ver 年 6 月 Page 4 of 22 Altima Corporation

5 以下の例は 設定名 clka 周波数 50 MHz Duty 比 50% の場合の画面です 生成されるクロックの波形が表示されます Name Finder ボタン (5 ページ参照 ) SDC コマンドが表示されます SDC command 欄には GUI で設定した制約内容が SDC コマンドで表示されます この部分を直接編集することも可能です Insert ボタンをクリックし SDC ファイル エディタ内に記述を取り込みます 複数のクロック系統がある場合は この操作を繰り返します Name Finder の使い方 1. Targets 欄の右側にある ボタンをクリックし Name Finder ダイアログ ボックスを起動します 2. Collection 欄のプルダウン リストから信号の種類を選択します ( プルダウン リストのフィルタ条件は 設定する制約ごとに変わります ) get_cells : セル (LUT レジスタ DSP ブロック メモリブロック IOE など ) get_clocks : ( 定義済みの ) クロック信号 get_keepers : ポート レジスタ get_nets : ネット get_nodes : ポート ピン レジスタ get_pins : 各セル ( ロジック レジスタ ) の入力 出力信号 get_ports : 回路の入出力ピン get_registers : レジスタ 3. Filter 欄は * ( アスタリスク ) のままでもかまいませんが リストアップさせたい信号の一部 ( キーワード ) をワイルドカ ードを使用して入力すると より詳細な検索が可能です 4. 必要に応じて Options を設定します Case-insensitive : Filter 欄に入力したキーワードは 大文字 小文字を区別しない Hierarchical : Filter 欄に入力したキーワードで一致したものを下位階層の信号も含め表示させる Compatibility mode : 常に全階層をサーチ ver 年 6 月 Page 5 of 22 Altima Corporation

6 5. List ボタンをクリック後 matches found ( 左枠 ) から信号を選択しボタンまたはダブルクリックし selected names ( 右枠 ) に移動させます ( 複数の信号に対して設定をする場合は対象となる信号を全て選択します ) 6. OK ボタンをクリックし設定完了です 生成クロック (Generated Clock) デザイン内の別クロックから生成されるクロック ( 例えば PLL 分周回路 リップルクロックなど) や クロック ドメインの異なるデータパス ( 非同期クロック ) がある場合には 生成クロックの設定を作成します 1 SDC ファイル エディタの Edit メニュー Insert Constraint Create Generated Clock を選択します 2 Create Generated Clock ダイアログ ボックスが表示されますので クロックの設定をします Clock name Source Based on frequency : クロックの設定名 ( 任意 ) を入力します : 生成クロックのソースを指定します : ソースに対する位相関係を定義します Divide by : 元のクロックに対する分周比を入力します Multiply by: 元のクロックに対する逓倍比を入力します Duty cycle : 生成クロックの Duty 比を入力します Phase Offset : 元のクロックに対する位相を入力します ( 単位は degree) : 元のクロックに対するオフセット値を入力します ( 単位は ns) Targets : クロックのノード ピン名を指定します ( 複数の信号を選択可能です ) Name Finder の使用方法については 5 ページをご覧ください ver 年 6 月 Page 6 of 22 Altima Corporation

7 以下の例は 設定名 clkb 周波数 Source クロック (clk50m) の 2 逓倍 Duty 比 50% Offset 0ns の場合の画面です Name Finder ボタン (5 ページ参照 ) Insert ボタンをクリックし SDC ファイル エディタ内に記述を取り込みます 同様のクロックが複数系統ある場合は この操作を繰り返します (5) SDC ファイル読み込み 先ほど作成したクロック制約条件を TimeQuest に認識させるため SDC ファイルを読み込みます ( 事前に SDC ファイルを保存してください ) Tasks ペイン Netlist Setup Read SDC File をダブルクリックします Tasks ペインのコマンド実行により SDC ファイルを読み込ませる場合には ファイル名が プロジェクト リビジョン名.sdc でなければ自動読み込みは行われません もしも SDC ファイル名がプロジェクト リビジョン名と異なる場合は Constraints メニュー Read SDC File よりファイルを指定してください また 現プロジェクトに対して タイミング制約を Classic Timing Analyzer で設定していた場合に その制約内容を SDC ファイルに展開 ( 変換 ) し TimeQuest へスムーズに移管することができます Constraints メニュー Generate SDC File from QSF を実行後 生成された SDC ファイルを読み込みます ver 年 6 月 Page 7 of 22 Altima Corporation

8 (6) SDC ファイル (I/O 制約 ) 作成ここでは I/O 制約のうち 以下 2 つの設定方法をご紹介します 組み合わせ回路 ( レジスタなし ) の I/O パス 同期 ( レジスタあり ) の I/O パス 組み合わせ回路 I/O 入力ピンから出力ピンへのパス間にレジスタを介さない I/O の設定方法です ポイント間の最大パス遅延と最小パス遅延を指定します ( 従来の Tpd = Pin to Pin ディレイ設定です ) 1 SDC ファイル エディタの Edit メニュー Insert Constrain Set Maximum Delay または Set Minimum Delay を選択します 2 Set Maximum Delay または Set Minimum Delay ダイアログ ボックスが表示されますので I/O の設定をします From Through To Delay value : パスの始点のノードを指定します : ( 必要に応じて ) 特定のノードを通るパスのみを指定します : パスの終点のノードを指定します : 要求値を入力します Name Finder の使用方法については 5 ページをご覧ください 以下の例は 入力ピ in1 出力ピン out1 out2 間全てのパスにおいて 5.0ns の最大パス遅延を設定した場合の画面です Name Finder ボタン (6 ページ参照 ) Insert ボタンをクリックし SDC ファイル エディタ内に記述を取り込みます 同様のクロックが複数系統ある場合は この操作を繰り返します ver 年 6 月 Page 8 of 22 Altima Corporation

9 同期 I/O 同期 I/O の制約用コマンドを作成するときは クロック制約情報が必要です あらかじめクロックの制約を作成し Read SDC File コマンドにより読み込ませておく必要があります 同期入力 (Input Delay) の設定 FPGA/CPLD 内のセットアップ / ホールド時間を保証するために 外部デバイスから FPGA/CPLD までのタイミング関係を指定します FPGA/CPLD の入力ピンに最大 ( 最小 ) で別々の遅延を設定します 外部デバイスの最大 ( 最小 ) tco + PCB 遅延 - PCB クロック スキュー tsu A t clk - Input Maximum Delay / th A Input Minimum Delay 1 SDC ファイル エディタの Edit メニュー Insert Constrain Set Input Delay を選択します 2 Set Input Delay ダイアログ ボックスが表示されますので I/O の設定をします 立下りクロックで送信される場合 Name Finder ボタン Clock name Input delay options : プルダウン リストからソース クロック名を選択します ( クロックの制約で定義したクロック名が表示されます ) : オプションの設定をします データ遅延の設定 Minimum : ベストケース Maximum : ワーストケース Both : ベストケース ワーストケース両方を考慮 ver 年 6 月 Page 9 of 22 Altima Corporation

10 エッジの設定 Rise : 立ち上がりエッジ Fall : 立ち下りエッジ Both : 立ち上がり 立ち下がりエッジ両方を考慮 Delay value : FPGA/CPLD へ入力するまでの遅延値を設定します ( 上図参考 ) Add delay : 一つの入力に対して複数の制約を与える場合に使用します Targets : 設定する信号を Name Finder から選択します ( 複数選択可 ) Name Finder の使用方法については 5 ページをご覧ください 3 設定完了後 Insert ボタンをクリックし SDC ファイル エディタ内に記述を取り込みます 同様の内容がある場合は この操作を繰り返します 同期出力 (Output Delay) の設定 FPGA/CPLD 内のクロック to アウトプット時間を保証するために FPGA/CPLD から外部デバイスまでのタイミング関係を指定します FPGA/CPLD の出力ピンに最大 ( 最小 ) で別々の遅延を設定します 外部デバイスの最大 ( 最小 ) tsu/-th + PCB 遅延 - PCB クロック スキュー tco B t clk - Output Maximum Delay / tco B Output Minimum Delay 1 SDC ファイル エディタの Edit メニュー Insert Constrain Set Output Delay を選択します 2 Set Output Delay ダイアログ ボックスが表示されますので I/O の設定をします Clock name : プルダウン リストからソース クロック名を選択します ( クロックの制約で定義したクロック名が表示されます ) Output delay options : オプションの設定をします データ遅延の設定 Minimum : ベストケース Maximum : ワーストケース Both : ベストケース ワーストケース両方を考慮 エッジの設定 Rise : 立ち上がりエッジ Fall : 立ち下がりエッジ ver 年 6 月 Page 10 of 22 Altima Corporation

11 Both : 立ち上がり 立ち下がり両方を考慮 Delay value Add delay : FPGA/CPLD の出力ピンから外部デバイスの入力レジスタまでの遅延値を設定します ( 上図参考 ) : 一つの出力に対して複数の制約を与える場合に使用します Targets : 設定する信号を Name Finder から選択します ( 複数選択可 ) Name Finder の使用方法については 5 ページをご覧ください 3 設定完了後 Insert ボタンをクリックし SDC ファイル エディタ内に記述を取り込みます 同様の内容がある場合は この操作を繰り返します 立下りクロックで送信される場合 Name Finder ボタン (7) タイミング ネットリスト更新 デザインに与えた制約を新たに設定 ( または変更 ) 後 タイミング ネットリストを更新し タイミングを解析します ( 事前に SDC ファイルを保存してください ) Tasks ペイン Update Timing Netlist をダブルクリックします ダブルクリック (8) レポート生成 Reports メニューと Tasks ペインの Reports ディレクトリ内に レポートを作成するためのコマンド ( 下表参照 ) が用意されています これらを実行し タイミング解析した結果をレポートとして表示します Slack Report Setup Summary Report Hold Summary 概要 クロックごとのワースト セットアップ タイムを表示 クロックごとのワースト ホールド タイムを表示 ver 年 6 月 Page 11 of 22 Altima Corporation

12 Slack Report Recovery Summary Report Removal Summary Report Minimum Pulse Width Summary Datasheet Report Fmax Summary Report Datasheet Device Specific Report TCCS Report RSKM 概要 クロックごとのワースト リカバリ パスを表示 クロックごとのワースト リムーバル パスを表示 レジスタのクロック ポートでの最小パルス幅を表示 概要 クロックごとのワースト Fmax を表示 tsu/th の形式でタイミング結果を表示 概要 LVDS 送信のチャネル間スキューマージンを表示 LVDS 受信のスキューマージンを表示 Report DDR DDR のタイミング情報を表示 (ALTMEMPHY 使用時 ) Report Metastability Diagnostic Report Clocks Report Clock Transfers Report Unconstrained Paths Report SDC Report Ignored Constraints Check Timing Report Partitions Custom Reports Report Timing Report Minimum Pulse Width Report False Path 同期チェインごとのメタステーブルを表示 概要 クロックの定義を表示 クロック ドメイン間のパスの数のサマリを表示 制約が与えられていないパスを表示 設定したタイミング制約の内容を表示 無視された制約を表示 制約の妥当性のチェック結果を表示 パーティション間のタイミング概要と詳細を表示 概要 任意パスのタイミングを表示 任意パスのレジスタのクロック ポートでの最小パルス幅を表示 任意パスの解析から除外すると設定したパスを表示 Report Exception 任意パスの Set False Path Set Multicycle Path Set Minimum Delay Set Maximum Delay コマンドにより設定された例外の詳細を表示 Report Bottlenecks Report Net Timing Create Slack Histogram Macros Report All Summaries Report Top Failing Paths Report All I/O Timings Report All Core Timings Create All Clock Histograms 任意パスのボトルネック ノードを表示 任意パスのネットの Fan-Out と遅延を表示 任意パスのスラック分布をヒストグラムで表示 概要 Individual Reports の のレポートを一度に作成 制約を満たせないパスを上位から表示 I/O のタイミング パスを全て表示 内部のタイミング パスを全て表示 クロックごとのスラックをヒストグラムで表示 これらのレポートを生成する基本操作は 以下のとおりです 1 Tasks ペインの希望するレポートの項目をダブルクリックします 実行済みをあらわします 1 ver 年 6 月 Page 12 of 22 Altima Corporation

13 2 Report ペインにレポートが作成されます 表示させたい項目をクリックします View ウィンドウに指定したレポートの内容が表示されます TimeQuest は制約を与えていないパスは解析を行いません そのため Report Unconstrained Paths を実行して確認す ることを推奨します (9) 詳細レポートの作成 Tasks ペインで生成したレポートを より詳細に検証することができます ここでは Report Setup Summary を例に 詳細レポートの作成と操作方法をご案内します 1 Tasks ペイン Report Setup Summary をダブルクリックします 2 Report ペインより 表示させるレポートの項目を選択します View ペインにクロック定義ごとのセットアップ タイムのレポート サマリが表示されます 3 View ペインより 詳細を確認したいレポートの一つを選択し 右クリックで表示されるプルダウン メニューから Report Timing をクリックします 要求値を満たせない ( タイミング違反 ) 内容や違反項目がある場合には 赤く表示されます 実行されたコマンドのレポートが作成されます ver 年 6 月 Page 13 of 22 Altima Corporation

14 4 指定したクロック定義名が Clocks 枠の To clock 欄に選択された状態で Report Timing ダイアログ ボックスが開きます Output 枠の Detail level においてレポートの詳細レベルをプルダウン リストから選択し Paths 枠の Report number of paths でリストアップさせるパス数を指定します その後 Report Timing ボタンをクリックします 下図の例では クロック clkb を終点クロックとするレジスタ パスのうち スラックの少ない順に 10 パス表示されます Report Timing ダイアログ ボックスの各オプションについては 以下のとおりです Clocks : From clock パスの起点クロックを選択します To clock パスの終点クロックを選択します Targets : From パスの起点信号を Name Finder で指定します Though パスの通過点となる信号を Name Finder で指定します To パスの終点信号を Name Finder で指定します Analysis type : パスの種類を選択します Paths : 表示するパスの数 スラック値の上限を指定します ( 初期値 10) Output : レポートの出力情報を設定します Detail level : レポートの詳細さを指定します Summary : スラック値 起点 終点 クロックだけが表示されます Path Only : データパスの遅延がステップごとに表示されます Path and Clock : クロック ネットワークの遅延が詳しく表示されます Full Path : 派生クロック ネットワークの遅延も表示されます Report panel name : Report ペイン上に表示される名前を設定できます File name : レポートの保存先とファイル名を指定できます ( 拡張子の指定必須 ) ver 年 6 月 Page 14 of 22 Altima Corporation

15 5 スラック / パスの詳細レポートが表示されます スラック値 ソース ノード ディスティネーション ノード ソースとディスティネーションのクロック スラック値とパスのサマリ データ要求パスの詳細 ( 次頁へ ) ver 年 6 月 Page 15 of 22 Altima Corporation

16 ( 前頁から ) パス遅延の統計 スラック情報を視覚的に波形表示 (10) ビューワの活用 View ペインで検証しているパス情報を Quartus II の様々なビューワ機能とクロスプローブさせることで視覚的に確認することができます 1 View ペインより 確認したい情報を右クリックで選択します 2 プルダウン リストから Locate paths を選択します 3 Locate ダイアログ ボックスより使用したい機能を選択し OK ボタンをクリックします Chip Planner デバイス内のリソース配置状況をグラフィカルに表示 Technology Map Viewer 回路の配置配線後の結果をグラフィカルに表示 Resource Property Editor ロジックの実装と接続の詳細をグラフィカルに表示 Critical Path Settings Chip Planner を活用し クリティカル パスを表示 ver 年 6 月 Page 16 of 22 Altima Corporation

17 (11) 制約追加後のレポート更新検証中に SDC ファイルに記載されている制約に加え 新しい制約をコマンド (Console ペイン ) あるいは Constraints メニューより実行すると 現在のレポートは無効であることを示す OUT OF DATE 表示になります このとき 情報を更新したレポートを再度生成する必要があります Report ペインにおいて 右クリックのプルダウン メニューより Regenerate All Out of Date をクリックすると Report ペインに生成されている全てのレポート内容が更新されます なお SDC ファイルを編集して制約を追加する場合には OUT OF DATE 表示にはなりません ですがレポートは更新する必要がありますので Constraints メニュー Reset Design より SDC 情報一度リセットし 再度目的のレポートを生成してください ( その際 自動的に SDC ファイルは読み込まれます ) 2-2. タイミング制約を与えて Quartus II に配置配線を行わせる手順 操作フローの概要は以下のとおりです 論理合成の実行 TimeQuest 起動 タイミング ネットリスト (post-map) 生成 SDC ファイル ( クロック制約 ) 作成 Quartus II に SDC ファイルを登録 SDC ファイル読み込み コンパイル実行 SDC ファイル (I/O 制約 ) 作成 レポート生成 ver 年 6 月 Page 17 of 22 Altima Corporation

18 (1) 論理合成の実行 TimeQuest でタイミング制約を設定する場合には プロジェクト デザインにおいて最低限でも論理合成が完了している必要があります 以下のいずれかの方法で論理合成を実行してください すでに論理合成またはコンパイルが完了している場合には 次のステップ ((2) TimeQuest 起動 ) へ進んでください Processing メニュー Start Start Analysis & Synthesis を実行 Tasks ウィンドウ Compile Design Analysis & Synthesis をダブルクリック (2) TimeQuest 起動 TimeQuest Timing Analyzer を起動します Task ウィンドウ TimeQuest Timing Analyzer TimeQuest Timing Analyzer をダブルクリックします 以下のメッセージが表示された場合には いいえ (N) をクリックしてください 以下のメッセージは.qsf ファイルから.sdc ファイルを生成するかどうかを聞いています すでに従来のタイミング制約 (Classic Timing Analyzer) を設定している場合は はい (Y) を選択すると.qsf ファイルの内容が.sdc へ引き継がれます TimeQuest Timing Analyzer が起動します TimeQuest GUI についての詳細は 2 ページをご参考ください (3) タイミング ネットリスト作成 TimeQuest を使用するために タイミング ネットリストを作成します タイミング ネットリストには Post-map( 論理合成後 ) と Post-fit( 配置配線後 ) の 2 種類あります ここでは 論理合成まで完了している ( 配置配線は完了していない ) ので Post-map を選択します 1 Netlist メニュー Create Timing Netlist を選択します Create Timing Netlist ダイアログ ボックスが表示されます (Tasks ペインからの実行では Post-map ネットリストを使用することはできません ご注意ください ) 2 Create Timing Netlist ダイアログ ボックスのオプションを選択します 各オプション項目については 3 ページ (3) タイミング ネットリス作成 をご参考ください ネットリストの設定 タイミング モデルの設定 tcl コマンドの表示 ver 年 6 月 Page 18 of 22 Altima Corporation

19 (4) SDC ファイル ( クロック制約 ) 作成クロックの設定や入力 出力の遅延情報の設定するための SDC ファイルを作成します ( すでに必要な制約を全て記述した SDC ファイルがある場合には このステップは必要ありません (7) Quartus II に SDC ファイルを登録 へ進んでください ) また SDC ファイル ( クロック制約 ) 作成の具体的な操作方法は 本資料 4 ページ (4) SDC ファイル ( クロック制約 ) 作成 をご覧ください (5) SDC ファイル読み込み 先ほど作成したクロック制約条件を TimeQuest に認識させるため SDC ファイルを読み込みます ( 事前に SDC ファイルを保存してください ) Tasks ペイン Netlist Setup Read SDC File をダブルクリックします Tasks ペインのコマンド実行により SDC ファイルを読み込ませる場合には ファイル名が プロジェクト リビジョン名.sdc でなければ自動読み込みは行われません もしも SDC ファイル名がプロジェクト リビジョン名と異なる場合は Constraints メニュー Read SDC File よりファイルを指定してください また 現プロジェクトに対して タイミング制約を Classic Timing Analyzer で設定していた場合に その制約内容を SDC ファイルに展開 ( 変換 ) し TimeQuest へスムーズに移管することができます Constraints メニュー Generate SDC File from QSF を実行後 生成された SDC ファイルを読み込みます (6) SDC ファイル (I/O 制約 ) 作成 I/O 制約のための SDC ファイルを作成します 具体的な操作方法は 本資料 8 ページ (6) SDC ファイル (I/O 制約 ) 作成 をご覧ください (7) Quartus II に SDC ファイルを登録作成 保存した SDC ファイルの制約内容を Quartus II のコンパイル実行時に有効にするため登録をします 1 Quartus II の Assignments メニュー Timing Analysis Settings を選択します 2 Use TimeQuest Timing Analyzer during compilation を選択します ver 年 6 月 Page 19 of 22 Altima Corporation

20 3 Category の一欄より TimeQuest Timing Analyzer を選択します 4 SDC filename 欄に 保存した SDC ファイルを指定します ( ブラウズ ボタンを利用 ) 5 Add ボタンをクリックし 登録します その後 OK ボタンをクリックします ブラウズ ボタン (8) コンパイル実行 コンパイルを実行します 以下のいずれかの方法で実行してください ボタンをクリック Processing メニュー Start Compilation をクリック Tasks ウィンドウ Compile Design をダブルクリックいずれの方法も コンパイル完了後 Quartus II の Tasks ウィンドウには実行が完了したことを示すチェックマークが表示されます コンパイル実行と同時に TimeQuest が実行されていることが確認できます ver 年 6 月 Page 20 of 22 Altima Corporation

21 (9) レポート生成 Quartus II のコンパイル レポートに TimeQuest のサマリ レポートが表示されます 要求値を違反しているものは赤く表示されます 使用された SDC ファイル 生成されたクロック タイミング違反 制約されていないパスなど より詳細な解析を行う場合には TimeQuest を起動し Tasks ペインより各種レポートを生成して検証を行います 操作方法は 11 ページ以降の (8) レポート生成 (9) 詳細レポートの作成 (10) ビューワの活用 をご参考ください ver 年 6 月 Page 21 of 22 Altima Corporation

22 弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 横浜市港区新横浜 マクニカ第二ビル TEL FAX 大阪営業所 大阪市淀川区宮原 ニッセイ新大阪ビル 17F TEL FAX 名古屋営業所 名古屋市西区名駅二丁目 27-8 名古屋プライムセントラルタワー 20F TEL FAX 宇都宮営業所 栃木県宇都宮市駅前通り フミックス STM ビル 10F TEL FAX ver 年 6 月 Page 22 of 22 Altima Corporation

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 - Quartus II はじめてガイド - プロジェクトの作成方法 ver. 9.0 2009 年 5 月 1. はじめに Quartus II はユーザ デザインをプロジェクトで管理します プロジェクトは デザインのコンパイルに必要なすべてのデザイン ファイル 設定ファイルおよびその他のファイルで構成されます そのため開発を始めるには まずプロジェクトを作成する必要があります この資料では Quartus

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.10.0 2010 年 7 月 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに... 3 2. Quartus II の起動... 3 3. 操作手順... 4 4. 既存プロジェクトの起動... 10 5. プロジェクト作成後の変更...11

More information

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法

Quartus II はじめてガイド - TimeQuest によるタイミング制約の方法 ALTIMA Corp. Quartus II はじめてガイド TimeQuest によるタイミング制約の方法 ver.15 2015 年 9 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド TimeQuest によるタイミング制約の方法 目次 1. 2. はじめに...3 SDC ファイルの作成方法...5 2-1. 2-2. Analysis & Synthesis(

More information

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS アルテラ USB-Blaster ドライバのインストール方法 for Windows OS ver. 3.1 2009 年 6 月 1. はじめに この資料は アルテラ専用のダウンロードケーブル USB-Blaster をご利用いただく際に必要な ドライバのインストール方法をご案内しています ご利用になる Windows OS に応じ ご案内の手順に従ってドライバをインストールしてください なお USB-Blaster

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II - デバイスの未使用ピンの状態とその処理 Quartus II はじめてガイド デバイスの未使用ピンの状態とその処理 ver. 9.1 2010 年 6 月 1. はじめに ユーザ回路で使用していないデバイス上のユーザ I/O ピン ( 未使用ユーザ I/O ピン ) は Quartus II でコンパイルすることによりピンごとに属性が確定されます ユーザは 各未使用ユーザ I/O ピンがどのような状態 ( 属性 ) であるかに応じて 基板上で適切な取り扱いをする必要があります

More information

Quartus II - Chip Planner クイック・ガイド

Quartus II - Chip Planner クイック・ガイド - Quartus II - Chip Planner クイック ガイド ver.9.0 2009 年 8 月 1. はじめに この資料は Quartus II の Chip Planner の使用方法を紹介しています Chip Planner は 従来のフロアプランと Chip Editor が統合された機能です この機能により Quartus II 上でアルテラ デバイスの内部構造の表示 内部タイミングの調査

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法 - Quartus II はじめてガイド - Device & Pin Options 設定方法 ver.9.1 2010 年 5 月 1. はじめに この資料は Quartus II における Device & Pin Options の設定に関して説明しています Device & Pin Options ダイアログ ボックスでは 現在のプロジェクトで選択されているデバイスにおけるデバイス オプションとピン

More information

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II はじめてガイド - デバイス・プログラミング方法 - Quartus II はじめてガイド - デバイス プログラミング方法 ver. 9.1 2010 年 1 月 1. はじめに この資料では Quartus II の Programmer の操作方法を紹介しています Programmer を使用し デバイスにプログラミング ( デバイスへの書き込み ) を行います アルテラのデバイスへデータを書き込むときには プログラミング ハードウェアを使用します

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定) ALTIMA Corp. Quartus II はじめてガイドよく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 ver.10 2011 年 4 月 ELSENA,Inc. Quartus II はじめてガイド よく使用するロジック オプション設定方法 ( 個別設定方法 ) rev.1 目次 1. はじめに... 3 2. 出力電流値の設定 ...4

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus II はじめてガイド - Convert Programming File の使い方 ALTIMA Corp. Quartus II はじめてガイド Convert Programming File の使い方 ver.14 2015 年 1 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Convert Programming File の使い方 目次 1. 2. はじめに...3 操作方法...3 2-1. 2-2. 2-3. Convert Programming

More information

HardCopy IIデバイスのタイミング制約

HardCopy IIデバイスのタイミング制約 7. HardCopy II H51028-2.1 Stratix II FPGA FPGA ASIC HardCopy II ASIC NRE Quartus II HardCopy Design Center HCDC Quartus II TimeQuest HardCopy II 2 DR2 TimeQuest TimeQuest FPGA ASIC FPGA ASIC Quartus II

More information

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス プログラミングの方法 ver.15.1 2016 年 3 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド デバイス プログラミングの方法 目次 1. 2. 3. 4. はじめに...3 プログラミング方法...5 Auto Detect 機能...14 ISP CLAMP 機能...17

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II はじめてガイド - ピン・アサインの方法 ALTIMA Corp. Quartus II はじめてガイドピン アサインの方法 rev.1 ver.10 2011 年 3 月 ELSENA,Inc. Quartus II はじめてガイド ピン アサインの方法 rev.1 目次 1. はじめに... 3 2. 事前作業... 3 2-1. デバイスの選択... 3 2-2. データベースの構築... 4 3. ユーザ I/O ピンのアサイン方法...

More information

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc ver. 1.0 2008 年 6 月 1. はじめに この資料では ホスト PC に存在する ハードウェアのコンフィギュレーション データ ファイルをホスト ファイルシステムの機能を使用して Nios II システム メモリへ転送し そのコンフィギュレーション データを Nios II を使って EPCS へプログラムする手法を紹介します この資料は Quartus II ver.7.2 SP3

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

Quartus II Web Edition インストール・ガイド

Quartus II Web Edition インストール・ガイド ver. 9.01 2009 年 9 月 1. はじめに Quartus II Web Edition ソフトウェアは アルテラの低コスト FPGA および CPLD ファミリの開発に必要な環境一式が含まれた無償パッケージです 回路図とテキスト形式によるデザイン入力 統合された VHDL と Verilog HDL 合成 サードパーティ ソフトウェアへのサポート SOPC Builder システム生成ソフトウェア

More information

Nios II 簡易シミュレーション

Nios II 簡易シミュレーション ver. 8.1 2009 年 3 月 1. はじめに この資料は 別資料である Nios II 簡易チュートリアル を終えた後 Nios II システムのデザインを ModelSim で RTL シミュレーションを行う場合の操作マニュアルです この資料では ModelSim-Altera を使用していますが ModelSim PE ModelSim SE でも同様にシミュレーションが可能です この資料においてのシミュレーション環境は

More information

Chip PlannerによるECO

Chip PlannerによるECO 13. Chip Planner ECO QII52017-8.0.0 ECO Engineering Change Orders Chip Planner ECO Chip Planner FPGA LAB LE ALM ECO ECO ECO ECO Chip Planner Chip Planner ECO LogicLock Chip Planner Quartus II Volume 2

More information

AN 477: Designing RGMII Interface with HardCopy

AN 477: Designing RGMII Interface with HardCopy FPGA および HardCopy デバイスとの RGMII インタフェースの設計 ver. 1.0 Application Note 477 はじめに RGMII(Reduced Gigabit Media Independent Interface) は IEEE 802.3z GMII に代わるもので ピン数の削減が図られています ピン数の削減は クロックの立ち上がりと立ち下がりの両エッジでデータをやりとりし

More information

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.10.0 2010 年 9 月 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. はじめに... 3 2. Device and Pin Options の起動... 3 3. Device and

More information

ネットリストおよびフィジカル・シンセシスの最適化

ネットリストおよびフィジカル・シンセシスの最適化 11. QII52007-7.1.0 Quartus II Quartus II atom atom Electronic Design Interchange Format (.edf) Verilog Quartus (.vqm) Quartus II Quartus II Quartus II Quartus II 1 Quartus II Quartus II 11 3 11 12 Altera

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

PLL クイック・ガイド for Cyclone III

PLL クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です

More information

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 ALTIMA Corp. ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11 2012 年 3 月 Rev. 1 ELSENA,Inc. ModelSim-Altera Edition インストール & ライセンスセットアップ 目次 1. はじめに... 3 2. ModelSim-Altera について... 3 2-1. ModelSim-Altera

More information

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16 ALTIMA Corp. Quartus Prime ガイド Design Space Explorer II の使い方 Ver.16 2017 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime ガイド Design Space Explorer II の使い方 目次 1. 2. はじめに...3 DSE II 概要...4 2-1. 2-2. 2-3. DSE II の推奨使用方法...

More information

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II SBT Flash Programmer ユーザ・ガイド ALTIMA Corp. Nios II SBT Flash Programmer ユーザ ガイド ver.9.1 2010 年 12 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 使用条件... 3 3. GUI 操作手順... 3 3-1. SOF ファイルをダウンロード... 4 3-1-1. Quartus II Programmer の起動... 4 3-1-2. SOF

More information

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files) ALTIMA Corp. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. Quartus Prime プログラミング ファイルの生成や変換 (Convert Programming Files) 目次 1. 2. はじめに...3 操作方法...4 2-1.

More information

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化 ver. 9.1 2009 年 12 月 1. はじめに Nios II にオプションで実装できる浮動小数演算カスタム インストラクションは 浮動小数四則演算はサポートしているものの 整数から浮動小数にキャスト ( 型変換 ) する機能やその逆の機能は備えていません この資料では 単精度浮動小数型と整数型の変換を簡単に Nios II のカスタム インストラクションに実装する方法を紹介しています なお

More information

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h]) TINA 操作チュートリアル プリント配線基板の (PCB) 作成 ilink アイリンク合同会社 231-0023 横浜市中区山下町 256 ヴィルヌーブ横浜関内 1F111 TEL:045-663-5940 FAX:045-663-5945 ilink_sales@ilink.co.jp http://www.ilink.co.jp 1 プリント配線基板の (PCB) 作成 フットプリントの確認と変更

More information

Report Template

Report Template 日本語マニュアル 第 11 章 フロアプランニングと リソース配置指定 ( 本 日本語マニュアルは 日本語による理解のため一助として提供しています その作成にあたっては各トピックについて それぞれ可能な限り正確を期しておりますが 必ずしも網羅的ではなく 或いは最新でない可能性があります また 意図せずオリジナル英語版オンラインヘルプやリリースノートなどと不一致がある場合もあり得ます 疑義が生じた場合は

More information

Quartus II はじめてガイド - Device and Pin Options 設定方法

Quartus II はじめてガイド - Device and Pin Options 設定方法 ALTIMA Corp. Quartus II はじめてガイド Device and Pin Options 設定方法 ver.14 2015 年 3 月 Rev.1 ELSENA,Inc. Quartus II はじめてガイド Device and Pin Options 設定方法 目次 1. 2. 3. はじめに...3 Device and Pin Options の起動...4 Device

More information

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法 ALTIMA Corp. ModelSim アルテラ シミュレーション ライブラリ作成および登録方法 ver.10 2013 年 3 月 Rev.1 ELSENA,Inc. 目次 1. はじめに... 3 2. 操作方法... 6 2-1. Quartus II におけるシミュレーション ライブラリの作成... 6 2-2. ライブラリの登録... 10 2-3. ライブラリの選択... 14 3.

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - プロジェクトの作成方法 ALTIMA Corp. Quartus II はじめてガイド プロジェクトの作成方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド プロジェクトの作成方法 目次 1. はじめに...3 2. プロジェクトとは...3 3. Quartus II 開発ソフトウェアの起動...4 4. 新規プロジェクトの作成...7 5. 既存プロジェクトの起動と終了...15

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル ALTIMA Corp. ver.14 2014 年 8 月 Rev.1 ELSENA,Inc. 目次 1. はじめに...3 1-1. フロー概要... 3 2. ハードウェア...4 2-1. 2-2. 2-3. 2-4. 2-5. ハードウェア プロジェクトの作成 ( Quartus II )... 4 コンフィギュレーション モードの設定... 5 Qsys にてシステムを構成し HDL を生成...

More information

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編) ALTIMA Corp. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) ver.1 2015 年 4 月 Rev.1 ELSENA,Inc. PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット ( ソフトウェア編 ) 目次 1. はじめに...3

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション FLEXSCHE Excel 帳票 入門ガイド 1 目次 2 EXCEL 帳票とは EDIF を用いて出力された一時データを元に それを EXCEL 形式の帳票として出力する機能です 利用するには FLEXSCHE EDIF の他 Microsoft Excel 2003 以降が必要です レイアウトデザインも EXCEL で行うので 多くの方に操作に抵抗なく編集していただけます この入門ガイドでは

More information

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx)

(Microsoft Word - \214\264\215e B_\217\221\202\253\215\236\202\335\225\224.docx) トランジスタ技術 2009 年 3 月号特集気軽にはじめる FPGA 第 5 章マルチチャネル信号発生器信号発生器の製作 ~はんだ付け不要ロジックの自在さを生かす~ ISE WebPACK を使って FPGA にソースを書き込むまでの手順 坂本三直 プロジェクトプロジェクトの新規生成 / 読み込み : CQ 出版社の HP より本スタータキット用のプロジェクトをダウンロードしてください. パソコン上にコピーできたら,Xilinx

More information

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II はじめてガイド - 回路図エディタの使い方 Quartus II はじめてガイド 回路図エディタの使い方 ver.9.0 2009 年 5 月 1. はじめに この資料は Quartus II 回路図エディタの操作方法をご紹介しています Quartus II はデザイン エントリの手法として 回路図 AHDL VHDL Verilog HDL EDIF VQM に対応しています 階層設計をしたときに 最終的に最上位階層のデザイン ファイルが

More information

電話機のファイル形式

電話機のファイル形式 この章では テキスト エディタを使用して作成する CSV データ ファイルのファイル形式を設定 する方法について説明します 電話機 CSV データ ファイルを作成するためのテキスト エディタ, 1 ページ の検索, 2 ページ CSV データ ファイルの電話機ファイル形式の設定, 3 ページ テキストベースのファイル形式と CSV データ ファイルの関連付け, 7 ページ 電話機 CSV データ ファイルを作成するためのテキスト

More information

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 10 July 2018 目次 1. 本マニュアルについて 2.( 前準備 ) ライブラリの解凍と保存 3. プロジェクトの作成 4. シミュレーションプロファイルの作成 5.LIBファイルの登録 6.OLBファイルの登録 7. コンデンサのインピーダンス計算例

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を Agilent ADS で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B003_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library に含まれるモデルは標準的な

More information

Welcome-Kit ~STM32L4-Nucleo~

Welcome-Kit ~STM32L4-Nucleo~ STM32CubeMX の使い方 0 STM32CubeMX ダウンロード 1 1 ST マイクロ社 HP より STM32CubeMX インストーラーをダウンロードし インストーラーの表示に沿ってインストールします URL : http://www.st.com/content/st_com/ja/products/development-tools/software-development-tools/stm32-

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

ゲートウェイ ファイル形式

ゲートウェイ ファイル形式 ゲートウェイ ファイル形式 この章では Cisco Unified Communications Manager 一括管理 BAT を使用して Cisco Unified Communications Manager データベース内の Cisco ゲートウェイのファイル形式を一括して設定す る方法について説明します ゲートウェイ ファイル形式の検索, 1 ページ ゲートウェイ ファイル形式の設定,

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

Nios II - Vectored Interrupt Controller の実装

Nios II - Vectored Interrupt Controller の実装 ALTIMA Corp. Nios II Vectored Interrupt Controller の実装 ver.1.0 2010 年 7 月 ELSENA,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 4 3-1. SOPC Builder の設定... 4 3-2. ペリフェラルの設定... 4 3-2-1. VIC の設定... 4 3-2-2.

More information

Maser - User Operation Manual

Maser - User Operation Manual Maser 3 Cell Innovation User Operation Manual 2013.4.1 1 目次 1. はじめに... 3 1.1. 推奨動作環境... 3 2. データの登録... 4 2.1. プロジェクトの作成... 4 2.2. Projectへのデータのアップロード... 8 2.2.1. HTTPSでのアップロード... 8 2.2.2. SFTPでのアップロード...

More information

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2 SIMetrix/SIMPLIS ライブラリ ユーザーマニュアル 2018 年 8 月 株式会社村田製作所 Ver1.0 1 22 August 2018 目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート

More information

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の

新しくシンボルを作成することもできるが ここでは シンボル :opamp2.asy ファイル を回路と同じフォルダにコピーする コピーしたシンボルファイルをダブルクリックで 開く Fig.4 opamp2 のシンボル 変更する前に 内容を確認する メニュー中の Edit の Attributes の 付録 A. OP アンプ内部回路の subckt 化について [ 目的 ] 実験で使用した LM741 の内部回路を subckt 化して使用する [ 手順と結果 ] LTspice には sample として LM741 の内部回路がある この内部回路は LM741.pdf[1] を参照している 参考サイト : [1]http://www.ti.com/lit/ds/symlink/lm741.pdf

More information

目次 1. 概要 動作環境

目次 1. 概要 動作環境 Asaka Data Entry for RS-232C (ADE-RS) Personal Edition ユーザーズガイド (Ver 1.1) 株式会社アサカ理研 目次 1. 概要 -------------------------------------------------------------------- 2 2. 動作環境 ------------------------------------------------------------------

More information

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc

Microsoft Word - DWR-S01D_Updater_取扱説明書_120514A.doc DWR-S01D Updater 取扱説明書 発行日 :2012/5/14 目次 概要...3 機能...3 準備するもの...3 本ソフトウェアについて...3 インストール手順...4 USBドライバーのインストール手順...8 デバイスマネージャーからのUSBドライバーのインストール手順...11 アップデート手順...16 アップデート後の確認...17 アップデートに失敗した場合...17

More information

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法

ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイルツール(Excel形式)の利用方法 ServerView Resource Orchestrator V3.0 ネットワーク構成情報ファイル作成ツール mknetdevconf-tool-0300-1 本ファイルでは ServerView Resource Orchestrator V3.0 で使用する ネットワーク構成情報ファイル作成ツール の動作条件 使用方法 およびその他の重要な情報について説明しています 本ツールを使用する前に必ず最後まで目を通すようお願いします

More information

TF Series with Tio1608-D System Setup Guide

TF Series with Tio1608-D System Setup Guide システムセットアップガイド 第 1 版 : 2016 年 6 月 このガイドでは ヤマハデジタルミキシングコンソール TF シリーズ と I/O ラック Tio1608-D を使用したミキシングシステムのセットアップ手順や Tio1608-D の台数に応じたシステム例を紹介します TF シリーズは単体でも使用することができますが Tio1608-D を併用することで簡単にシステムを拡張することができ

More information

TimeCardView と Cisco Unified Communications Express Historical Reporting Client

TimeCardView と  Cisco Unified Communications Express  Historical Reporting Client TimeCardView と Cisco Unified Communications Express Historical Reporting Client を使用すると 次の 2 種類のレポート生成タスクを実行できます 履歴レポートの生成と表示 (P.27) (P.29) 上記以外の種類のタスクとしては 既存のレポート設定のロード がありますが これは TimeCardView の機能に関連しません

More information

Master'sONEセキュアモバイル定額通信サービス(MF120)設定手順書(Ver1_2).doc

Master'sONEセキュアモバイル定額通信サービス(MF120)設定手順書(Ver1_2).doc AI 人物検索サービス クラウド監視カメラパッケージ リモート接続設定手順書 Windows 7 版 Ver1.0 NTT コミュニケーションズ株式会社 Copyright 2017 NTT Communications Corporation, All Rights Reserved. 目次 1. はじめに...2 2. 実施前ご確認事項...2 3. VPN 接続設定手順について (IPsec

More information

Quartus Prime はじめてガイド - Signal Tap ロジック・アナライザの使い方

Quartus Prime はじめてガイド - Signal Tap ロジック・アナライザの使い方 ALTIMA Company, MACNICA, Inc. Quartus Prime はじめてガイド Ver.17.1 2018 年 1 月 Rev.1 ELSENA,Inc. Quartus Prime はじめてガイド 目次 はじめに...3 使用環境...4 開発ソフトウェア... 4 通信ケーブル... 4 対応デバイス... 4 概要...5 必要な FPGA の内部リソース... 5 観測できない信号...

More information

連絡先の管理

連絡先の管理 CHAPTER 9 システム連絡先は ボイスメッセージなどの Cisco Unity Connection 機能にアクセスできないユーザのタイプです システム連絡先は 別のシステム上にボイスメールアカウントを持つ社内の個人や ボイスメールボックスを必要としないが Connection のユーザと頻繁に連絡を取り合う顧客またはベンダーを表している場合があります 詳細については 次の項を参照してください

More information

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は Windows 7 環境において IC カードリーダライタ RW-5100 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています 本書で説明するドライバソフトは Windows 7 SP1 で動作するものです

More information

ゲートウェイのファイル形式

ゲートウェイのファイル形式 この章では Cisco Unified Communications Manager 一括管理 BAT を使用して シスコのゲー トウェイのファイル形式を Cisco Unified Communications Manager データベースに一括して設定す る方法について説明します の検索, 1 ページ の設定, 2 ページ ファイル形式と CSV データ ファイルの関連付け, 5 ページ の検索

More information

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 DWR-S01D Updater 取扱説明書 発行日 :2011/2/28 目次 概要概要...3 機能機能...3 準備準備するものするもの...3 本ソフトウェアソフトウェアについてについて...3 インストールインストール手順手順...4 USB ドライバーのインストールインストール手順手順...8 デバイスマネージャーデバイスマネージャーからの USB ドライバーのインストールインストール手順手順...11

More information

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Quartus Prime はじめてガイド - デバイス・オプションの設定方法 ALTIMA Corp. Quartus Prime はじめてガイドデバイス オプションの設定方法 ver.15.1 2016 年 5 月 Rev.3 ELSENA,Inc. Quartus Prime はじめてガイド デバイス オプションの設定方法 目次 1. 2. はじめに...3 デバイス オプションの設定...4 2-1. 2-2. 2-3. 2-4. 2-5. 2-6. 2-7. 2-8.

More information

2. 設定画面から 下記の項目について入力を行って下さい Report Type - 閲覧したい利用統計の種類を選択 Database Usage Report: ご契約データベース毎の利用統計 Interface Usage Report: 使用しているインターフェイス * 毎の利用統計 * 専用

2. 設定画面から 下記の項目について入力を行って下さい Report Type - 閲覧したい利用統計の種類を選択 Database Usage Report: ご契約データベース毎の利用統計 Interface Usage Report: 使用しているインターフェイス * 毎の利用統計 * 専用 EBSCOadmin 利用統計設定方法 EBSCOadmin 内の Report & Statistics 機能をご利用頂くことで セッション別 発信元の IP アドレス別 デー タベース別 最も多く検索された雑誌タイトルなどに限定して ユーザーのデータベース利用頻度を把握すること ができます ここでは 基本的なデータベースの利用統計レポートの作成方法をご説明します 利用統計を設定する (=Standard

More information

スクールCOBOL2002

スクールCOBOL2002 3. 関連資料 - よく使われる機能の操作方法 - (a) ファイルの入出力処理 - 順ファイル等を使ったプログラムの実行 - - 目次 -. はじめに 2. コーディング上の指定 3. 順ファイルの使用方法 4. プリンタへの出力方法 5. 索引ファイルの使用方法 6. 終わりに 2 . はじめに 本説明書では 簡単なプログラム ( ファイル等を使わないプログラム ) の作成からコンパイル 実行までの使用方法は既に理解しているものとして

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション Microsoft IIS 10.0 証明書インストール手順書 ( サーバー移行用 ) サイバートラスト株式会社 2017 年 03 月 13 日 2017 Cybertrust Japan Co.,Ltd. SureServer EV はじめに! 本手順書をご利用の前に必ずお読みください 1. 本ドキュメントは Microsoft 社の Internet Information Services

More information

目 次 1. はじめに ソフトの起動と終了 環境設定 発助 SMS ファイルの操作 電話番号設定 運用条件 回線情報 SMS 送信の開始と停止 ファイル出力... 16

目 次 1. はじめに ソフトの起動と終了 環境設定 発助 SMS ファイルの操作 電話番号設定 運用条件 回線情報 SMS 送信の開始と停止 ファイル出力... 16 発助 SMS 操作マニュアル Ver1.2 2018.7.21 ソフトプラン合同会社 1/18 目 次 1. はじめに... 3 2. ソフトの起動と終了... 3 3. 環境設定... 5 4. 発助 SMS ファイルの操作... 7 5. 電話番号設定... 9 6. 運用条件... 11 7. 回線情報... 12 8.SMS 送信の開始と停止... 13 9. ファイル出力... 16 10.

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実 周辺機器ツールセットアップガイド ( 第 1.1 版 ) ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実際の画面 操作を優先させていただきます 4)

More information

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906)

Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック (UG906) Vivado Design Suite ユーザーガイド デザイン解析およびクロージャテクニック この資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください 改訂履歴 次の表に この文書の改訂履歴を示します 日付バージョン改訂内容

More information

インターネット接続に関する設定書 フレッツ接続ツールを使ったインターネット接続の初期設定 フレッツ接続ツールの設定変更 確認方法 フレッツ接続ツールの基本設定 P2-4 P5-7 P8-9 Windows XP の標準接続 (PPPoE) を使った接続の初期設定 P10-13 Windows XP

インターネット接続に関する設定書 フレッツ接続ツールを使ったインターネット接続の初期設定 フレッツ接続ツールの設定変更 確認方法 フレッツ接続ツールの基本設定 P2-4 P5-7 P8-9 Windows XP の標準接続 (PPPoE) を使った接続の初期設定 P10-13 Windows XP インターネット接続に関する設定書 フレッツ接続ツールを使ったインターネット接続の初期設定 フレッツ接続ツールの設定変更 確認方法 フレッツ接続ツールの基本設定 P2-4 P5-7 P8-9 Windows XP の標準接続 (PPPoE) を使った接続の初期設定 P10-13 Windows XP の標準接続 (PPPoE) の設定確認 変更方法 P13-16 フレッツ接続ツールを使ったインターネット接続の初期設定

More information

eService

eService eservice ご利用の手引き ソフトウェア エー ジー株式会社グローバルサポート Page 1 eservice eservice は弊社サポート WEB サイト EMPOWER のサービスです お客様は eservice にて サポートインシデントの発行と管理を行うことができます eservice では お客様に以下のサービスをご提供致します - サポートインシデントの検索と閲覧 - サポートインシデントの新規作成と更新

More information

Ontrack PowerControls バックアップ・復旧ガイド

Ontrack PowerControls バックアップ・復旧ガイド 1 版 2015 年 10 月 05 日 改定履歴 版改定日改定ページ改定内容 1 版 1 版 1 目次 改定履歴... 1 はじめに... 3 1. 概略... 4 1.1 操作概要... 5 2. Exchange サーバーのバックアップ... 6 3. バックアップイメージのマウント... 8 4. OPC による操作... 10 4.1 ソース ターゲットの設定... 10 4.2 事前準備...

More information

図 1 アドインに登録する メニューバーに [BAYONET] が追加されます 登録 : Excel 2007, 2010, 2013 の場合 1 Excel ブックを開きます Excel2007 の場合 左上の Office マークをクリックします 図 2 Office マーク (Excel 20

図 1 アドインに登録する メニューバーに [BAYONET] が追加されます 登録 : Excel 2007, 2010, 2013 の場合 1 Excel ブックを開きます Excel2007 の場合 左上の Office マークをクリックします 図 2 Office マーク (Excel 20 BayoLink Excel アドイン使用方法 1. はじめに BayoLink Excel アドインは MS Office Excel のアドインツールです BayoLink Excel アドインは Excel から API を利用して BayoLink と通信し モデルのインポートや推論の実行を行います BayoLink 本体ではできない 複数のデータを一度に推論することができます なお現状ではソフトエビデンスを指定して推論を行うことはできません

More information

直接 Reports & Statistics タブへの移動も可能です A. Publication Finder の統計を取得する Publication Finder Reports 1 Publication Finder タブが選択されていることをご確認下さい 2 下記項目を入力して下さい

直接 Reports & Statistics タブへの移動も可能です A. Publication Finder の統計を取得する Publication Finder Reports 1 Publication Finder タブが選択されていることをご確認下さい 2 下記項目を入力して下さい 利用統計を取得する 様々な側面からユーザーの利用状況を把握することができますここでは 基本的な利用統計レポートの作成方法をご説明します ( この項目は日本語表示に対応しておりません ) 1. Overview[ 概要 ] Quick Actions [ クィックアクション ] カテゴリ内にある Full Text Finder Reports[Full Text Finder レポート ] を選択して下さい

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

Microsoft Word - SSI_Smart-Trading_QA_ja_ doc

Microsoft Word - SSI_Smart-Trading_QA_ja_ doc サイゴン証券会社 (SSI) SSI Smarttrading の設定に関する Q&A 06-2009 Q&A リスト 1. Q1 http://smarttrading.ssi.com.vn へアクセスしましたが 黒い画面になり X のマークが左上に出ている A1 原因はまだ設定していない アドミニストレータで設定しない あるいは自動設定プログラムがお客様の PC に適合しないと考えられます 解決方法アドミニストレータの権限のユーザーでログインし

More information

Microsoft Word - 参考資料:SCC_IPsec_win7__リモート設定手順書_

Microsoft Word - 参考資料:SCC_IPsec_win7__リモート設定手順書_ セキュアカメラクラウドサービス リモート接続設定 順書 Windows 7 版 Ver1.0 株式会社 NTTPC コミュニケーションズ Copyright 2014 NTT PC Communications Incorporated, All Rights Reserved. 次 1. はじめに... 2 2. 実施前ご確認事項... 2 3. VPN 接続設定 順について (IPsec 接続設定

More information

AppsWF ワークフロー設定ガイド Ver.1.1 株式会社オプロ

AppsWF ワークフロー設定ガイド Ver.1.1 株式会社オプロ AppsWF ワークフロー設定ガイド Ver.1.1 株式会社オプロ 改訂履歴 Ver. 改訂日改訂内容 1.0 2019/08/22 新規発行 1.1 2019/10/04 1.3 ワークフロー設定画面を開くには に 1.3.2 Salesforce 版の操作手順 を 追加しました 本書に記載されている会社名 製品名 サービス名などは 提供各社の商標 登録商標 商品名です なお 本文中に TM マーク

More information

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書 Technical white paper Windows Embedded Standard シンクライアント VMware Horizon Client アップデート手順 目次 はじめに 2 対応する機種と OS イメージ 2 VMware Horizon Client アドオンのダウンロードと展開 3 VMware Horizon Client アドオンのインストール ( 手動インストール )

More information

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社 Windows 7 用 2017 年 7 月 シャープ株式会社 はじめに 本書は IC カードリーダライタ RW-4040 を使用するため お使いのパソコンにデバイスドライバソフトウェア ( 以下 ドライバソフト と記載 ) をインストールする方法について記述しています このドライバソフトは Windows 7 SP1 で動作します 本書では ドライバソフトバージョン 2.27 のインストールについて説明します

More information

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc TRAKTOR PRO 2 セッティングファイルインポートガイド はじめに ( 重要 ) 既存のセッティングファイルをバックアップする 弊社では Native Instruments 社製 DJソフトウエア TRAKTOR PRO 2 専用のセッティングファイルを提供しております このファイルをインポートすることにより TRAKTOR PRO 2 を本機でコントロールできます TRAKTOR PRO

More information

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act No. ESC-APN-006-05 文書番号 : ESC-APN-006-05 Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では ActiveState Software Inc.( 以下 ActiveState 社 ) のフリーソフトウェアである Active Tcl と microview-plus

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus II はじめてガイド - 回路図エディタの使い方 ALTIMA Corp. Quartus II はじめてガイド 回路図エディタの使い方 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド回路図エディタの使い方 目次 1. はじめに... 3 2. 基本操作方法... 4 2-1. 新規ファイルの作成... 4 2-2. デザイン入力... 5 2-2-1. シンボルの入力... 5 2-2-2.

More information

デザイン・スペース・エクスプローラ

デザイン・スペース・エクスプローラ 12. QII52008-6.1.0 Quartus II Quartus II FPGA Tcl/Tk DSEDSE DSE DSE DSE DSE Quartus II Synthesis Fitter 1 DSE Quartus II Fitter Quartus II Altera Corporation 12 1 2006 11 Quartus II Volume 2 DSE DSE 1

More information

ゲートウェイのファイル形式

ゲートウェイのファイル形式 CHAPTER 47 Cisco Unified Communications Manager 一括管理 (BAT) を使用すると シスコのを Cisco Unified Communications Manager データベースに一括して設定できます 次のトピックでは これらのタスクの情報とについて説明します の検索 の設定 の検索 を検索するは 次のとおりです ステップ 1 [ 一括管理 ]>[

More information

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます

<<SPICE アナログ コードの生成 >> WaveFormerPro は タイミング ダイヤグラムをアナログ SPICE コードへエクスポートする機能を持ちます これは Waveperl スクリプトにより実現しています 信号波形は PWL(PieceWiseLinear を用いてモデル化されます アナログ波形の描画 表示と SPICE ファイルのインポート エクスポート SynaptiCAD 社の WaveFormerPro は デジタル波形のみならず アナログ波形もサポートしております このアナログ波形サポート機能により デジタル信号 アナログ信号の変換 またその逆変換なども可能となります さらに オシロスコープ ( アジレント テクノロジー社 のデータをインポートする事もできますので WaveFormerPro

More information