24 FFT Self-Timeed Pipeline Implementation of Adaptive FFT for Different Rate Signals

Size: px
Start display at page:

Download "24 FFT Self-Timeed Pipeline Implementation of Adaptive FFT for Different Rate Signals"

Transcription

1 24 FFT Self-Timeed Pipeline Implementation of Adaptive FFT for Different Rate Signals

2 FFT HetNet (FFT) FFT. (STP) FFT STP FFT FFT FFT FPGA(Altera stratixii) HetNet FFT STP i

3 Abstract Self-Timeed Pipeline Implementation of Adaptive FFT for Different Rate Signals Hajime ooiso In recent years, such as seen in HetNet, there is an increasing demand for heterogeneous wireless communication system that allows mobile terminals to choose the best wireless interface among available ones. In such terminals, multiple signal sequences of different interfaces have to be processed in parallel. However, in the conventional clock-synchronized digital circuit, it is difficult to design adaptive scheduling for various combinations of the interfaces. Therefore, this study focuses on the self-timed pipeline (STP) circuit, which inherently has the clockless passive operation mode without clockbased scheduling, and implements an experimental STP circuit for fast Fourier transform (FFT), which is one the heaviest functions in modern wireless signal processing. In the self-timed FFT circuit, radix-r butterfly operations in Cooley-Tukey FFT algorithm are continuously invoked based on stream-oriented parallel processing. The number of invoked butterfly operations is controlled by color identifiers that we introduced as abstraction of available processing resource constrained by concurrently processed wireless signal sequences. As a result of FPGA implementation, it is confirmed that the proposed circuit for radix point FFT performs well under available hardware resource. key words Signal processing, Heterogeneous wireless system, Fast Fourier transform, Self-timed pipeline ii

4 1 1 2 FFT FFT FFT input str Tf index Tf lookup stream gen Butterfly result str next FFT MEM iii

5 iv

6 2.1 Npoint FFT Npoint FFT FFT FFT FFT in place input str Tf index Tf lookup stream gen Butterfly next next FFT Mem Radix SelectStep SameStep FFT opr = opr = v

7 4.1 double buffer vi

8 1.1 LAN LTE FFT opr mem FPGA vii

9 1 PC 2 1 LAN LAN 2 3 (3G) 3.9G 3.9G 4G 4G 1.1 LAN 1.2 1

10 IEEE n IEEE ac IEEE ad OFDM OFDM OFDM 40MHz 160MHz 9GHz 64QAM 256QAM 64QAM 600Mbps 6.9Gbps 6.8Gbps 1.1 LAN W-CDMA(3G) LTE(3.9G) LTE-Advanced(4G) DL : CDMA DL : OFDMA DL : OFDMA UL : CDMA UL : SC-FDMA UL : SC-FDMA 5MHz 20MHz 100MHz HPSK, QPSK 16QAM, 64QAM 16QAM, 64QAM DL : 384kbps DL : 300Mbps DL : 3Gbps UP : 64kbps UP : 75Mbps UP : 1.5bps 1.2 FFT FFT STP Self-timed Pipeline) FFT FPGA(Altera StratixII) 2 FFT 2

11 FFT 3 FFT STP FPGA 4 3

12 2 FFT 2.1 FFT FFT FFT FFT FFT FFT 2.2 FFT FFT FFT N f s 1 x 1 FFT 2.1 x 1 1 f s 1 x 1(0) 1 f s 1 N 1 f s 1 x 1(N 1) x 1 FFT F F T fs1 1 N f s 1 2 FFT F F T fs12 x 1 (N) F F T fs1 1 F F T fs1 2 4

13 2.2 FFT 2.1 Npoint FFT x 1 (N) 1 f s 1 x 1(N 1) 1 f s 1 F F T fs11 1 FFT FFT FFT x 1 (N 1) FFT 1 f s 1 FFT x 1 (N 1) x 1 x 1 (N 1) FFT x 1 (N) F F T fs1 1 x 1 (0) N 2f s 1 1 2f s 1 x 1(N 1) N 1 f s 1 F F T fs12 x 1 (N) 3N 2f s 1 x 1(N 1) x 1 (N) N+1 2f s 1 FFT FFT FFT 5

14 2.2 FFT 2.2 Npoint FFT 2 x 1 x 2 FFT FFT N x 1 x 2 f s 1 f s 2 f s 1 = 2 f s 2 x 1 x 2 N+1 2f s 1 N+1 f s 1 FFT FFT FFT FFT FFT FFT STP (C ) 6

15 2.3 FFT 2.3 FFT 2.3 FFT Desecrate Fourier Transform : DFT) f(n) F (k) DFT F (K) = N 1 n=0 f(n)w kn N (2.1) W n = e j 2 N (2.2) W 1 N DFT N 2 N(N 1) DFT FFT FFT 2 Cooley-Tukey FFT FFT N 2 log 2N Nlog 2 N N = 8 FFT

16 2.3 FFT FFT FFT R FFT N log R N N R N R FFT FFT FFT FFT [2] FFT FFT [2] 8

17 2.3 FFT R 2.5 color color color 2.5 FFT input str 1 N(R 1) R (Base Address : BA) BA color BA color max color 9

18 2.3 FFT stream gen BA Tf index Tf lookup Tf index Butterfly stream gen Tf lookup R result str R BA stream gen next FFT BA next FFT 2.6 in place 10

19 2.3 FFT in place FFT in place FFT 4 FFT 16 in place 2.6 BA BA skip skip N R step+1 4 BA BA + skip BA + 2skip BA + 3skip input str 2.7 input str input str

20 2.3 FFT input str DATA Address Address FFT log 2 N 1 DATA 16bit 16bit 32bit store color color source 4 BA skip BA BA + skip BA + 2skip BA + 3skip BA + 3skip 3skip BA color source 0 input str BA 1step 4 BA 0 N 4 color source BA color source BA color BA color source index 0 color source color max color max color source color source color max color source Tf index Tf index 2.8 BA Tf index input str log 2 color max color 2 index log 2 N 12

21 2.3 FFT 2.8 Tf index BA BA index 2 index0 index0 BA 0 0 BA 0 index1 index 1 BA index2 index3 BA index sterilizer (ser ) ser 4 color 4 index 13

22 2.3 FFT Tf lookup 2.9 Tf lookup Tf lookup 2.9 Tf lookup Tf index Tf lookup 2.10 x y j j 2 Tf look Tf index 2 14

23 2.3 FFT FFT N 4 N 4 j stream gen stream gen 2.11 stream gen input str stream gen index0 BA index skip skip ser ser Tf index index 15

24 2.3 FFT 2.11 stream gen LD LD Butterfly Butterfly 2.12 Butterfly stream gen Tf lookup 2 Butterfly 4 complexmul 2 16bit Deserializer (des ) des index index ser 16

25 2.3 FFT 2.12 Butterfly result str result str stream gen Butterfly 2 str str index index next next result str next BA FFT BA step BA next step next next 1 result str index BA BA 17

26 2.3 FFT 2.13 next 1 BA BA index 0 index0 BA 0 step step BA 0 next 1 BA 0 step step step BA BA BA color max BA color max FFT 1 step N N R step nextskip(ns) BA 18

27 2.3 FFT 2.14 next 2 BA ns BA BA (BA mod skip) ns BA BA FFT N N step BA N step next 2 New step next 2 New step next 1 step step step step 19

28 2.4 log 4 N 1 color FFT FFT reorder step skip ns skip ns BA 2.4 FFT FFT FFT FFT FFT FPGA(Altera StratixII) 20

29 3 FFT FFT FFT FFT FFT color color FFT color FFT FFT 3.2 FFT FFT STP 21

30 3.2 STP FFT FFT FFT 1 FFT 2 FFT f s FFT N FFT N+1 2f s FFT FFT N 2 log2n Nlog2N FFT FFT step step step N R FFT FFT FFT color color color FFT (color max ) color max ASIC FPGA ASIC FPGA FPGA 22

31 3.2 FFT Altera stratix II color max 2 ( ) 32 bit (16bit 16bit) 3.1 I/O ASIC FPGA ASIC FPGA ASIC FPGA 3.1 FPGA Altera stratix II FFT FFT FFT FFT 4 FPGA color color max 23

32 3.3 (MHz) (MHz) FFT LTE FFT 2 FFT color max 2 FFT 1024 LTE 4 FFT LTE FFT 3.2 LTE 20MHz FFT 2048 FFT MHz FFT STP 3.1 STP input str stream gen result str color 24

33 3.3 3 mem Marge FFT MEM MEM 3.2 (opr) (Initial address) (Initial data), (Stream gen address) (Radix4buterfly address) (Radix4butterfly result) FFT 25

34 3.3 opr FFT 3.3 opr mem (Reorder address) (Tf index address mem opr / opr mem 3.3 opr / 3.2 Mem 26

35 Input str Radix4 Next Input str 1 Input str Mem Mem color BA. BA color max color BA BA color color max color max 3.3 Radix4 Radix4 complex sync addition 27

36 complex sync 4 4 stream gen index index sync index addition 4 addition -1 addition 4 FFT N FFT 1-1 N -N bit FFT bit Next SelectStep SameStep NextStep Next FFT BA SelectStep 3.4 SelectStep FFT step step register BA step BA 0 BA=0 register 28

37 SelectStep step SameStep C cp SameStep step BA Stream gen skip SameStep 3.5 BA BA color max color max BA step R step N R step BA BA N R step NextStep step 29

38 SameStep BA step skip FFT SameStep BA skip BA skip NextStep BA SelectStep renewstep BA skip renewstep opr reorder Tf index Stream gen Result str Reorder.Tf index Stream gen Stream gen 30

39 3.4 Tf index Stream gen BA skip BA Next BA Input str BA opr Tf index (C-element with N-count Copy: CNC) Tf index Stream gen Result str MEM 1 1 Reorder FFT FFT BA index Result str Reorder Serialize pre Serialize post Serialize pre / 1 / index index CNC / index Serialize post opr 3.4 FFT (3.1) ( (R+S)N R S 1 S + S 2 N ) log color R N T f N (3.1) max f s 31

40 3.4 FFT N FFT R Tf S S 1 R S 2 1 log R N step 1step, 3.6 FFT FFT 3.6 Mem FFT 1 R Serialize pre stage 1 R Radix4 sync stage Serialize pre stage 32

41 3.4 1 serialize pre stage Radix4 sync stage Serialize pre stage (S 1 ) 6 Serialize pre stage Radix4 sync stage (S 2 ) 7 MEM 3 opr Radix4 sync stage Mem Radix4Butterfly Radix4 sync stage Input str Next Input str Next FFT Radix4Butterfly opr opr 01 Mem opr Tf lndex Stream gen 01 Tf lndex Stream gen Mem Mem Radix4Butterfly Tf lndex Stream gen Radix4Butterfly Result str opr 01 Serialize pre stage 33

42 3.4 Serialize pre stage Serialize pre stage 4 R + S 1step FFT 1step (R + S)N S 1 (R+S)N R S 1 S 3.7 opr = 01 opr 10 opr opr Result str 10 Result str Radix4butterfly Mem Mem Next reorder BA Tf index Stream gen 34

43 opr = 10 opr 10 Radix4butterfly 1 1 1step S 2 N 2 opr 1 1step (R+S)N R S 1 S + S 2 N color max (R+S)N R color max S 1 S + S 2 N 3.4 Serialize pre stage 5ns 20 ns Tf STP C STP 35

44 3.4 (ns) Merge stage 7.45 MEM stage 14.4 Input Str stage 12.5 complex stage 20.2 sync stage 14.8 addtion stage 18.8 SelectStep stage 13.8 SameStep stage 14.3 NextStep stage 13.8 Strean gen stage 14.6 Tf index stage 14.2 Serialize pre stage 20.0(5 4) Serialize post stege (ns) FPGA FPGA FFT N FPGA % FFT 2.4 MHz ASIC 36

45 3.5 40nm ASIC 25 MHz nm ASIC 10 MHz LTE FFT 3.5 FFT Altera FPGA StratixII FFT % 40 nm ASIC 10 MHz LTE FFT 37

46 4 PC 3G 3.9G LAN FFT FFT FFT FFT FFT N f s FFT N f s FFT FFT FFT FFT FFT 38

47 STP STP C FFT FFT step N R FFT FFT FFT color FFT STP Marge FPGA stratixii 96% 40 nm ASIC FFT N 25 MHz FFT 10 MHz LTE 4G G HetNet 39

48 FFT STP Mem 1 1 Mem Mem in place step skip double buffer [2] 4 FFT 16 FFT double buffer 4.1 double buffer step 4 step in place double buffer write after read 40

49 4.1 double buffer step wirte after read double buffer step N N 4 double buffer in place 41

50

51 [1] H. Terada, et al, DDMP s: Self-Timed Super-Pipelined Data-Driven Multimedia Processors, Proceedings of the IEEE, 87(2), pp , Feb [2] FFT KUT [3] KUT [4] [5] FUJITSU.63,6,p (11,2012) [6] S. He, et al., A new approach to pipeline FFT processor, Int.Parallel Processing Symposium, [7] S. He, et al., Design and Implementation of a 1024-point Pipeline FFT Processor, Custom Integrated Circuits Conf., [8] Y. Lin, et al., A Dynamic Scaling FFT Processor for DVB-T Applications, IEEE J. Solid-State Circuits, Nov [9] Y. Lin, et al., A 1-GS/s FFT/IFFT Processor for UWB Applications, IEEE J. Solid-State Circuits, Aug [10] Y. Chen, et al., A 2.4-Gsample/s DVFS FFT Processor for MIMO OFDM Communication Systems, IEEE J. Solid-State Circuits, May

12 DCT A Data-Driven Implementation of Shape Adaptive DCT

12 DCT A Data-Driven Implementation of Shape Adaptive DCT 12 DCT A Data-Driven Implementation of Shape Adaptive DCT 1010431 2001 2 5 DCT MPEG H261,H263 LSI DDMP [1]DDMP MPEG4 DDMP MPEG4 SA-DCT SA-DCT DCT SA-DCT DDMP SA-DCT MPEG4, DDMP,, SA-DCT,, ο i Abstract

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

soturon.dvi

soturon.dvi 12 Exploration Method of Various Routes with Genetic Algorithm 1010369 2001 2 5 ( Genetic Algorithm: GA ) GA 2 3 Dijkstra Dijkstra i Abstract Exploration Method of Various Routes with Genetic Algorithm

More information

次世代モバイルネットワークの概要

次世代モバイルネットワークの概要 Next-Generation Mobile Network 加藤次雄 あらまし 45 3 202010 200 3.9 3.9G LTE Long Term Evolution 2010 12 LTE Abstract The number of people using cell phones in the world has exceeded 4.5 billion and this figure

More information

LAN LAN LAN LAN LAN LAN,, i

LAN LAN LAN LAN LAN LAN,, i 22 A secure wireless communication system using virtualization technologies 1115139 2011 3 4 LAN LAN LAN LAN LAN LAN,, i Abstract A secure wireless communication system using virtualization technologies

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

IT i

IT i 27 The automatic extract of know-how search tag using a thesaurus 1160374 2016 2 26 IT i Abstract The automatic extract of know-how search tag using a thesaurus In recent years, a number of organizational

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System 1. (1) ( MMI ) 2. 3. MMI Personal Computer(PC) MMI PC 1 1 2 (%) (%) 100.0 95.2 100.0 80.1 2 % 31.3% 2 PC (3 ) (2) MMI 2 ( ),,,, 49,,p531-532,2005 ( ),,,,,2005,p66-p67,2005 17 Proposal of an Algorithm of

More information

23 A Comparison of Flick and Ring Document Scrolling in Touch-based Mobile Phones

23 A Comparison of Flick and Ring Document Scrolling in Touch-based Mobile Phones 23 A Comparison of Flick and Ring Document Scrolling in Touch-based Mobile Phones 1120220 2012 3 1 iphone..,. 2 (, ) 3 (,, ),,,.,..,. HCI i Abstract A Comparison of Flick and Ring Document Scrolling in

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

, (GPS: Global Positioning Systemg),.,, (LBS: Local Based Services).. GPS,.,. RFID LAN,.,.,.,,,.,..,.,.,,, i

, (GPS: Global Positioning Systemg),.,, (LBS: Local Based Services).. GPS,.,. RFID LAN,.,.,.,,,.,..,.,.,,, i 25 Estimation scheme of indoor positioning using difference of times which chirp signals arrive 114348 214 3 6 , (GPS: Global Positioning Systemg),.,, (LBS: Local Based Services).. GPS,.,. RFID LAN,.,.,.,,,.,..,.,.,,,

More information

P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i

P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i 27 Verification of the usefulness of the data distribution method by browser cache sharing 1160285 2016 2 26 P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i Abstract Verification

More information

デジタル通信を支える無線技術

デジタル通信を支える無線技術 Aug. 02, 2008 Copyright 2008 Niigata Internet SOCiety & I.Suzuki All Rights Reserved. 2 1. LAN 2. 3. LAN 4. 802.11 3 4 1. LAN 2. 3. LAN 4. 802.11 5 WMAN 50Km WiMax WLAN 100m 802.11 WPAN 10m ZigBee Bluetooth

More information

28 Horizontal angle correction using straight line detection in an equirectangular image

28 Horizontal angle correction using straight line detection in an equirectangular image 28 Horizontal angle correction using straight line detection in an equirectangular image 1170283 2017 3 1 2 i Abstract Horizontal angle correction using straight line detection in an equirectangular image

More information

i

i 21 Fault-Toleranted Authentication Data Distribution Protocol for Autonomous Distributed Networks 1125153 2010 3 2 i Abstract Fault-Toleranted Authentication Data Distribution Protocol for Autonomous Distributed

More information

21 Quantum calculator simulator based on reversible operation

21 Quantum calculator simulator based on reversible operation 21 Quantum calculator simulator based on reversible operation 1100366 2010 3 1 i Abstract Quantum calculator simulator based on reversible operation Ryota Yoshimura Quantum computation is the novel computational

More information

WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i

WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i 26 WebRTC The data distribution system using browser cache sharing and WebRTC 1150361 2015/02/27 WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i Abstract The data distribution

More information

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst 情報処理学会インタラクション 2015 IPSJ Interaction 2015 15INT014 2015/3/7 1,a) 1,b) 1,c) Design and Implementation of a Piano Learning Support System Considering Motivation Fukuya Yuto 1,a) Takegawa Yoshinari 1,b) Yanagi

More information

DTN DTN DTN DTN i

DTN DTN DTN DTN i 28 DTN Proposal of the Aggregation Message Ferrying for Evacuee s Data Delivery in DTN Environment 1170302 2017 2 28 DTN DTN DTN DTN i Abstract Proposal of the Aggregation Message Ferrying for Evacuee

More information

25 Removal of the fricative sounds that occur in the electronic stethoscope

25 Removal of the fricative sounds that occur in the electronic stethoscope 25 Removal of the fricative sounds that occur in the electronic stethoscope 1140311 2014 3 7 ,.,.,.,.,.,.,.,,.,.,.,.,,. i Abstract Removal of the fricative sounds that occur in the electronic stethoscope

More information

,.,.,,.,. X Y..,,., [1].,,,.,,.. HCI,,,,,,, i

,.,.,,.,. X Y..,,., [1].,,,.,,.. HCI,,,,,,, i 23 Experimental investigation of Natural Use Profiles of Pen Pressure, Tilt and Azimuth 1120230 2012 3 1 ,.,.,,.,. X Y..,,., [1].,,,.,,.. HCI,,,,,,, i Abstract Experimental investigation of Natural Use

More information

LTE移動通信システムのフィールドトライアル

LTE移動通信システムのフィールドトライアル LTE Field Trial for LTE Mobile Network System 鬼柳広幸 箕輪守彦 あらまし LTELong Term Evolution LTE 1.7 GHz 5 MHzEnd to EndLTE 34.6 Mbps9.5 Mbps IP LTE Abstract The Long Term Evolution (LTE) mobile network system

More information

1 1 tf-idf tf-idf i

1 1 tf-idf tf-idf i 14 A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles 1055104 2003 1 31 1 1 tf-idf tf-idf i Abstract A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles

More information

NotePC 8 10cd=m 2 965cd=m 2 1.2 Note-PC Weber L,M,S { i {

NotePC 8 10cd=m 2 965cd=m 2 1.2 Note-PC Weber L,M,S { i { 12 The eect of a surrounding light to color discrimination 1010425 2001 2 5 NotePC 8 10cd=m 2 965cd=m 2 1.2 Note-PC Weber L,M,S { i { Abstract The eect of a surrounding light to color discrimination Ynka

More information

n 2 n (Dynamic Programming : DP) (Genetic Algorithm : GA) 2 i

n 2 n (Dynamic Programming : DP) (Genetic Algorithm : GA) 2 i 15 Comparison and Evaluation of Dynamic Programming and Genetic Algorithm for a Knapsack Problem 1040277 2004 2 25 n 2 n (Dynamic Programming : DP) (Genetic Algorithm : GA) 2 i Abstract Comparison and

More information

kut-paper-template.dvi

kut-paper-template.dvi 26 Discrimination of abnormal breath sound by using the features of breath sound 1150313 ,,,,,,,,,,,,, i Abstract Discrimination of abnormal breath sound by using the features of breath sound SATO Ryo

More information

2 ( ) i

2 ( ) i 25 Study on Rating System in Multi-player Games with Imperfect Information 1165069 2014 2 28 2 ( ) i ii Abstract Study on Rating System in Multi-player Games with Imperfect Information Shigehiko MORITA

More information

Web Web Web Web Web, i

Web Web Web Web Web, i 22 Web Research of a Web search support system based on individual sensitivity 1135117 2011 2 14 Web Web Web Web Web, i Abstract Research of a Web search support system based on individual sensitivity

More information

29 Short-time prediction of time series data for binary option trade

29 Short-time prediction of time series data for binary option trade 29 Short-time prediction of time series data for binary option trade 1180365 2018 2 28 RSI(Relative Strength Index) 3 USD/JPY 1 2001 1 2 4 10 2017 12 29 17 00 1 high low i Abstract Short-time prediction

More information

Fig. 1 Schematic construction of a PWS vehicle Fig. 2 Main power circuit of an inverter system for two motors drive

Fig. 1 Schematic construction of a PWS vehicle Fig. 2 Main power circuit of an inverter system for two motors drive An Application of Multiple Induction Motor Control with a Single Inverter to an Unmanned Vehicle Propulsion Akira KUMAMOTO* and Yoshihisa HIRANE* This paper is concerned with a new scheme of independent

More information

「産業上利用することができる発明」の審査の運用指針(案)

「産業上利用することができる発明」の審査の運用指針(案) 1 1.... 2 1.1... 2 2.... 4 2.1... 4 3.... 6 4.... 6 1 1 29 1 29 1 1 1. 2 1 1.1 (1) (2) (3) 1 (4) 2 4 1 2 2 3 4 31 12 5 7 2.2 (5) ( a ) ( b ) 1 3 2 ( c ) (6) 2. 2.1 2.1 (1) 4 ( i ) ( ii ) ( iii ) ( iv)

More information

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i

BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M Packet/sec LSI 5 1 BIST i 20 BIST LSI LSI Implementation of Self-Timed Ultra High Speed BIST Circuit 1090384 2009 3 5 BIST LSI LSI LSI (DDP) BIST Ring-STP (BIST) BIST LSI e-shuttle 65nm 12Layer CMOS Cadence Verilog-XL 100MHz 16M

More information

23 The Study of support narrowing down goods on electronic commerce sites

23 The Study of support narrowing down goods on electronic commerce sites 23 The Study of support narrowing down goods on electronic commerce sites 1120256 2012 3 15 i Abstract The Study of support narrowing down goods on electronic commerce sites Masaki HASHIMURA Recently,

More information

paper.dvi

paper.dvi 28 Confined Decoding System for Medical Data Distributed by Secret Sharing Scheme and Its Security Evaluation 1195046 2017 3 6 DMAT i Abstract Confined Decoding System for Medical Data Distributed by Secret

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

17 Multiple video streams control for the synchronous delivery and playback 1085404 2006 3 10 Web IP 1 1 1 3,,, i Abstract Multiple video streams control for the synchronous delivery and playback Yoshiyuki

More information

WMN Wi-Fi MBCR i

WMN Wi-Fi MBCR i 27 WMN Proposal of routing method that improves transmission capability in WMN 1185081 2016 2 26 WMN Wi-Fi MBCR i Abstract Proposal of routing method that improves transmission capability in WMN KOBAYASHI

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i

SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i 20 SOM Development of Syllabus Vsualization System using Spherical Self-Organizing Maps 1090366 2009 3 5 SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i Abstract Development of Syllabus Vsualization

More information

2007-Kanai-paper.dvi

2007-Kanai-paper.dvi 19 Estimation of Sound Source Zone using The Arrival Time Interval 1080351 2008 3 7 S/N 2 2 2 i Abstract Estimation of Sound Source Zone using The Arrival Time Interval Koichiro Kanai The microphone array

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

Deep Learning Deep Learning GPU GPU FPGA %

Deep Learning Deep Learning GPU GPU FPGA % 2016 (412825) Deep Learning Deep Learning GPU GPU FPGA 16 1 16 69% Abstract Recognition by DeepLearning attracts attention, because of its high recognition accuracy. Lots of learning is necessary for Deep

More information

IEEE ax:第 6 世代の Wi-Fi テクニカル ホワイト ペーパー

IEEE ax:第 6 世代の Wi-Fi テクニカル ホワイト ペーパー IEEE 802.11ax 6 Wi-Fi 1 6 Wi-Fi IEEE 802.11ax 802.11ac IEEE 802.11ax LTE IEEE 802.11ax LAN WLAN Wi-Fi IEEE 802.11ax LAN 4K Ultra HD Internet of Things IoT 802.11ac IoT IEEE 802.11ax 3 1024 QAM 35% OFDMA

More information

Abstract This paper concerns with a method of dynamic image cognition. Our image cognition method has two distinguished features. One is that the imag

Abstract This paper concerns with a method of dynamic image cognition. Our image cognition method has two distinguished features. One is that the imag 2004 RGB A STUDY OF RGB COLOR INFORMATION AND ITS APPLICATION 03R3237 Abstract This paper concerns with a method of dynamic image cognition. Our image cognition method has two distinguished features. One

More information

i ii iii iv v vi vii ( ー ー ) ( ) ( ) ( ) ( ) ー ( ) ( ) ー ー ( ) ( ) ( ) ( ) ( ) 13 202 24122783 3622316 (1) (2) (3) (4) 2483 (1) (2) (3) (4) (5) (6) (7) (8) (9) (10) (11) 11 11 2483 13

More information

7,, i

7,, i 23 Research of the authentication method on the two dimensional code 1145111 2012 2 13 7,, i Abstract Research of the authentication method on the two dimensional code Karita Koichiro Recently, the two

More information

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member

A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member A Feasibility Study of Direct-Mapping-Type Parallel Processing Method to Solve Linear Equations in Load Flow Calculations Hiroaki Inayoshi, Non-member (University of Tsukuba), Yasuharu Ohsawa, Member (Kobe

More information

Kochi University of Technology Aca Title 省 電 力 セルフタイム 回 路 に 関 する 研 究 Author(s) 岩 田, 誠, 宮 城, 桂, 三 宮, 秀 次, 西 川, 博 昭 Citation 高 知 工 科 大 学 紀 要, 10(1): 95-102 Date of 2013-07-20 issue URL http://hdl.handle.net/10173/1082

More information

Cisco 1711/1712セキュリティ アクセス ルータの概要

Cisco 1711/1712セキュリティ アクセス ルータの概要 CHAPTER 1 Cisco 1711/1712 Cisco 1711/1712 Cisco 1711/1712 1-1 1 Cisco 1711/1712 Cisco 1711/1712 LAN Cisco 1711 1 WIC-1-AM WAN Interface Card WIC;WAN 1 Cisco 1712 1 ISDN-BRI S/T WIC-1B-S/T 1 Cisco 1711/1712

More information

220 28;29) 30 35) 26;27) % 8.0% 9 36) 8) 14) 37) O O 13 2 E S % % 2 6 1fl 2fl 3fl 3 4

220 28;29) 30 35) 26;27) % 8.0% 9 36) 8) 14) 37) O O 13 2 E S % % 2 6 1fl 2fl 3fl 3 4 Vol. 12 No. 2 2002 219 239 Λ1 Λ1 729 1 2 29 4 3 4 5 1) 2) 3) 4 6) 7 27) Λ1 701-0193 288 219 220 28;29) 30 35) 26;27) 0 6 7 12 13 18 59.9% 8.0% 9 36) 8) 14) 37) 1 1 1 13 6 7 O O 13 2 E S 1 1 17 0 6 1 585

More information

Sobel Canny i

Sobel Canny i 21 Edge Feature for Monochrome Image Retrieval 1100311 2010 3 1 3 3 2 2 7 200 Sobel Canny i Abstract Edge Feature for Monochrome Image Retrieval Naoto Suzue Content based image retrieval (CBIR) has been

More information

28 Docker Design and Implementation of Program Evaluation System Using Docker Virtualized Environment

28 Docker Design and Implementation of Program Evaluation System Using Docker Virtualized Environment 28 Docker Design and Implementation of Program Evaluation System Using Docker Virtualized Environment 1170288 2017 2 28 Docker,.,,.,,.,,.,. Docker.,..,., Web, Web.,.,.,, CPU,,. i ., OS..,, OS, VirtualBox,.,

More information

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,,

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.,, 464 8601 470 0393 101 464 8601 E-mail: matsunagah@murase.m.is.nagoya-u.ac.jp, {ide,murase,hirayama}@is.nagoya-u.ac.jp,

More information

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2 FFT 1 Fourier fast Fourier transform FFT FFT FFT 1 FFT FFT 2 Fourier 2.1 Fourier FFT Fourier discrete Fourier transform DFT DFT n 1 y k = j=0 x j ω jk n, 0 k n 1 (1) x j y k ω n = e 2πi/n i = 1 (1) n DFT

More information

161 J 1 J 1997 FC 1998 J J J J J2 J1 J2 J1 J2 J1 J J1 J1 J J 2011 FIFA 2012 J 40 56

161 J 1 J 1997 FC 1998 J J J J J2 J1 J2 J1 J2 J1 J J1 J1 J J 2011 FIFA 2012 J 40 56 J1 J1 リーグチーム組織に関する考察 松原悟 Abstract J League began in 1993 by 10 teams. J League increased them by 40 teams in 2012. The numerical increase of such a team is a result of the activity of Football Association

More information

1 I/F I/F 1 6) MobileIP 7) 8) MN: Monile Node MN AR Mobility Anchor Point(MAP) MobileIP HMIP HMIP HA-MAP MN MAP MN MAP HMIP MAP MN 2 MobileIP Mo

1 I/F I/F 1 6) MobileIP 7) 8) MN: Monile Node MN AR Mobility Anchor Point(MAP) MobileIP HMIP HMIP HA-MAP MN MAP MN MAP HMIP MAP MN 2 MobileIP Mo 1 2 2 I/F Mobility Anchor Point MAP Composite Wireless Access Networks on Mobile Communications and Its Evaluation Abstract: In emerging wireless communication environments, mobile terminals that have

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

IPSJ SIG Technical Report Vol.2014-EIP-63 No /2/21 1,a) Wi-Fi Probe Request MAC MAC Probe Request MAC A dynamic ads control based on tra

IPSJ SIG Technical Report Vol.2014-EIP-63 No /2/21 1,a) Wi-Fi Probe Request MAC MAC Probe Request MAC A dynamic ads control based on tra 1,a) 1 1 2 1 Wi-Fi Probe Request MAC MAC Probe Request MAC A dynamic ads control based on traffic Abstract: The equipment with Wi-Fi communication function such as a smart phone which are send on a regular

More information

,,.,.,,.,.,.,.,,.,..,,,, i

,,.,.,,.,.,.,.,,.,..,,,, i 22 A person recognition using color information 1110372 2011 2 13 ,,.,.,,.,.,.,.,,.,..,,,, i Abstract A person recognition using color information Tatsumo HOJI Recently, for the purpose of collection of

More information

修士論文

修士論文 27 Mobile Ad Hoc Networks An Ant-based Routing Algorithm with Multi-phase Pheromone and Power-saving in Mobile Ad Hoc Networks 14T0013 Shohei Miyashita E-mail: shohei.miyashita.4j@stu.hosei.ac.jp : Abstract

More information

28 TCG SURF Card recognition using SURF in TCG play video

28 TCG SURF Card recognition using SURF in TCG play video 28 TCG SURF Card recognition using SURF in TCG play video 1170374 2017 3 2 TCG SURF TCG TCG OCG SURF Bof 20 20 30 10 1 SURF Bag of features i Abstract Card recognition using SURF in TCG play video Haruka

More information

IEEE802.11n LAN WiMAX(Mobile Worldwide Interoperability for Microwave Access) LTE(Long Term Evolution) IEEE LAN Bluetooth IEEE LAN

IEEE802.11n LAN WiMAX(Mobile Worldwide Interoperability for Microwave Access) LTE(Long Term Evolution) IEEE LAN Bluetooth IEEE LAN 23 IEEE802.11n LAN 43422519 ( ) 24 2 6 IEEE802.11n LAN WiMAX(Mobile Worldwide Interoperability for Microwave Access) LTE(Long Term Evolution) IEEE802.11 LAN Bluetooth 2009 9 IEEE802.11 LAN IEE E802.11n

More information

20 Method for Recognizing Expression Considering Fuzzy Based on Optical Flow

20 Method for Recognizing Expression Considering Fuzzy Based on Optical Flow 20 Method for Recognizing Expression Considering Fuzzy Based on Optical Flow 1115084 2009 3 5 3.,,,.., HCI(Human Computer Interaction),.,,.,,.,.,,..,. i Abstract Method for Recognizing Expression Considering

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya,

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 565-0871 1 5 E-mail: {s-kasihr, wakamiya, murata}@ist.osaka-u.ac.jp PC 70% Design, implementation, and evaluation

More information

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS HCG HUMAN COMMUNICATION GROUP SYMPOSIUM. UbiCode 243 0292 1030 E-mail: {ubicode,koide}@shirai.la, {otsuka,shirai}@ic.kanagawa-it.ac.jp

More information

A Study on Traffic Characteristics in Multi-hop Wireless Networks 2010 3 Yoichi Yamasaki ( ) 21 Local Area Network (LAN) LAN LAN LAN (AP, Access Point) LAN AP LAN AP AP AP (MWN, Multi-hop Wireless Network)

More information

, IT.,.,..,.. i

, IT.,.,..,.. i 25 To construct the system that promote a interactive method as a knowledge acquisition 1140317 2014 2 28 , IT.,.,..,.. i Abstract To construct the system that promote a interactive method as a knowledge

More information

第 55 回自動制御連合講演会 2012 年 11 月 17 日,18 日京都大学 1K403 ( ) Interpolation for the Gas Source Detection using the Parameter Estimation in a Sensor Network S. T

第 55 回自動制御連合講演会 2012 年 11 月 17 日,18 日京都大学 1K403 ( ) Interpolation for the Gas Source Detection using the Parameter Estimation in a Sensor Network S. T 第 55 回自動制御連合講演会 212 年 11 月 日, 日京都大学 1K43 () Interpolation for the Gas Source Detection using the Parameter Estimation in a Sensor Network S. Tokumoto, T. Namerikawa (Keio Univ. ) Abstract The purpose of

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

SURF,,., 55%,.,., SURF(Speeded Up Robust Features), 4 (,,, ), SURF.,, 84%, 96%, 28%, 32%.,,,. SURF, i

SURF,,., 55%,.,., SURF(Speeded Up Robust Features), 4 (,,, ), SURF.,, 84%, 96%, 28%, 32%.,,,. SURF, i 24 SURF Recognition of Facial Expression Based on SURF 1130402 2013 3 1 SURF,,., 55%,.,., SURF(Speeded Up Robust Features), 4 (,,, ), SURF.,, 84%, 96%, 28%, 32%.,,,. SURF, i Abstract Recognition of Facial

More information

29 jjencode JavaScript

29 jjencode JavaScript Kochi University of Technology Aca Title jjencode で難読化された JavaScript の検知 Author(s) 中村, 弘亮 Citation Date of 2018-03 issue URL http://hdl.handle.net/10173/1975 Rights Text version author Kochi, JAPAN http://kutarr.lib.kochi-tech.ac.jp/dspa

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

job-shop.dvi

job-shop.dvi 21 GA GA for job-shop scheduling problem by plural chromosome expression 1100303 2010 3 1 GA 1,,,,,,,, 4, 4,, i Abstract GA for job-shop scheduling problem by plural chromosome expression Tatsuki Shinohara

More information

Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Social Networking

Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Social Networking 23 An attribute expression of the virtual window system communicators 1120265 2012 3 1 Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual

More information

2 1 ( ) 2 ( ) i

2 1 ( ) 2 ( ) i 21 Perceptual relation bettween shadow, reflectance and luminance under aambiguous illuminations. 1100302 2010 3 1 2 1 ( ) 2 ( ) i Abstract Perceptual relation bettween shadow, reflectance and luminance

More information

1

1 5-3 Photonic Antennas and its Application to Radio-over-Fiber Wireless Communication Systems LI Keren, MATSUI Toshiaki, and IZUTSU Masayuki In this paper, we presented our recent works on development of

More information

24 Region-Based Image Retrieval using Fuzzy Clustering

24 Region-Based Image Retrieval using Fuzzy Clustering 24 Region-Based Image Retrieval using Fuzzy Clustering 1130323 2013 3 9 Visual-key Image Retrieval(VKIR) k-means Fuzzy C-means 2 200 2 2 20 VKIR 5 18% 54% 7 30 Fuzzy C-means i Abstract Region-Based Image

More information

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro

& Vol.5 No (Oct. 2015) TV 1,2,a) , Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Ro TV 1,2,a) 1 2 2015 1 26, 2015 5 21 Augmented TV TV AR Augmented Reality 3DCG TV Estimation of TV Screen Position and Rotation Using Mobile Device Hiroyuki Kawakita 1,2,a) Toshio Nakagawa 1 Makoto Sato

More information

Web Web Web Web i

Web Web Web Web i 28 Research of password manager using pattern lock and user certificate 1170369 2017 2 28 Web Web Web Web i Abstract Research of password manager using pattern lock and user certificate Takuya Mimoto In

More information

189 2015 1 80

189 2015 1 80 189 2015 1 A Design and Implementation of the Digital Annotation Basis on an Image Resource for a Touch Operation TSUDA Mitsuhiro 79 189 2015 1 80 81 189 2015 1 82 83 189 2015 1 84 85 189 2015 1 86 87

More information

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for

IPSJ SIG Technical Report Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for 1 2 3 3 1 Secret Tap Secret Tap Secret Flick 1 An Examination of Icon-based User Authentication Method Using Flick Input for Mobile Terminals Kaoru Wasai 1 Fumio Sugai 2 Yosihiro Kita 3 Mi RangPark 3 Naonobu

More information

fiš„v8.dvi

fiš„v8.dvi (2001) 49 2 333 343 Java Jasp 1 2 3 4 2001 4 13 2001 9 17 Java Jasp (JAva based Statistical Processor) Jasp Jasp. Java. 1. Jasp CPU 1 106 8569 4 6 7; fuji@ism.ac.jp 2 106 8569 4 6 7; nakanoj@ism.ac.jp

More information

1 DHT Fig. 1 Example of DHT 2 Successor Fig. 2 Example of Successor 2.1 Distributed Hash Table key key value O(1) DHT DHT 1 DHT 1 ID key ID IP value D

1 DHT Fig. 1 Example of DHT 2 Successor Fig. 2 Example of Successor 2.1 Distributed Hash Table key key value O(1) DHT DHT 1 DHT 1 ID key ID IP value D P2P 1,a) 1 1 Peer-to-Peer P2P P2P P2P Chord P2P Chord Consideration for Efficient Construction of Distributed Hash Trees on P2P Systems Taihei Higuchi 1,a) Masakazu Soshi 1 Tomoyuki Asaeda 1 Abstract:

More information

..,,,, , ( ) 3.,., 3.,., 500, 233.,, 3,,.,, i

..,,,, , ( ) 3.,., 3.,., 500, 233.,, 3,,.,, i 25 Feature Selection for Prediction of Stock Price Time Series 1140357 2014 2 28 ..,,,,. 2013 1 1 12 31, ( ) 3.,., 3.,., 500, 233.,, 3,,.,, i Abstract Feature Selection for Prediction of Stock Price Time

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

卒業論文2.dvi

卒業論文2.dvi 15 GUI A study on the system to transfer a GUI sub-picture to the enlarging viewer for operational support 1040270 2004 2 27 GUI PC PC GUI Graphical User Interface PC GUI GUI PC GUI PC PC GUI i Abstract

More information

XFEL/SPring-8

XFEL/SPring-8 DEVELOPMENT STATUS OF RF SYSTEM OF INJECTOR SECTION FOR XFEL/SPRING-8 Takao Asaka 1,A), Takahiro Inagaki B), Hiroyasu Ego A), Toshiaki Kobayashi A), Kazuaki Togawa B), Shinsuke Suzuki A), Yuji Otake B),

More information

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

kut-paper-template.dvi

kut-paper-template.dvi 14 Application of Automatic Text Summarization for Question Answering System 1030260 2003 2 12 Prassie Posum Prassie Prassie i Abstract Application of Automatic Text Summarization for Question Answering

More information

Wi-Fi Wi-Fi Wi-Fi Wi-Fi SAS SAS-2 Wi-Fi i

Wi-Fi Wi-Fi Wi-Fi Wi-Fi SAS SAS-2 Wi-Fi i 26 A Study on Secure Remote Control Methods 1175078 2015 2 27 Wi-Fi Wi-Fi Wi-Fi Wi-Fi SAS SAS-2 Wi-Fi i Abstract A Study on Secure Remote Control Methods SHINGAI, Tatsuro In recent years, communication

More information

,, 2024 2024 Web ,, ID ID. ID. ID. ID. must ID. ID. . ... BETWEENNo., - ESPNo. Works Impact of the Recruitment System of New Graduates as Temporary Staff on Transition from College to Work Naoyuki

More information

Vol. 29, No. 2, (2008) FDR Introduction of FDR and Comparisons of Multiple Testing Procedures that Control It Shin-ichi Matsuda Department of

Vol. 29, No. 2, (2008) FDR Introduction of FDR and Comparisons of Multiple Testing Procedures that Control It Shin-ichi Matsuda Department of Vol. 29, No. 2, 125 139 (2008) FDR Introduction of FDR and Comparisons of Multiple Testing Procedures that Control It Shin-ichi Matsuda Department of Information Systems and Mathematical Sciences, Faculty

More information

9_18.dvi

9_18.dvi Vol. 49 No. 9 3180 3190 (Sep. 2008) 1, 2 3 1 1 1, 2 4 5 6 1 MRC 1 23 MRC Development and Applications of Multiple Risk Communicator Ryoichi Sasaki, 1, 2 Yuu Hidaka, 3 Takashi Moriya, 1 Katsuhiro Taniyama,

More information

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF a m

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF   a m Vol.55 No.1 2 15 (Jan. 2014) 1,a) 2,3,b) 4,3,c) 3,d) 2013 3 18, 2013 10 9 saccess 1 1 saccess saccess Design and Implementation of an Online Tool for Database Education Hiroyuki Nagataki 1,a) Yoshiaki

More information

Wavelet HSI / [1] JPEG2000 9/7Wavelet [2][6] 2:1 9/7Wavelet Wavelet 80 Wavelet i

Wavelet HSI / [1] JPEG2000 9/7Wavelet [2][6] 2:1 9/7Wavelet Wavelet 80 Wavelet i 17 Wavelet Image Enhancement by Wavelet Transform 1060326 2006 3 10 Wavelet HSI / [1] JPEG2000 9/7Wavelet [2][6] 2:1 9/7Wavelet Wavelet 80 Wavelet i Abstract Image Enhancement by Wavelet Transform Yuichi

More information

1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The Boston Public Schools system, BPS (Deferred Acceptance system, DA) (Top Trading Cycles system, TTC) cf. [13] [

1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The Boston Public Schools system, BPS (Deferred Acceptance system, DA) (Top Trading Cycles system, TTC) cf. [13] [ Vol.2, No.x, April 2015, pp.xx-xx ISSN xxxx-xxxx 2015 4 30 2015 5 25 253-8550 1100 Tel 0467-53-2111( ) Fax 0467-54-3734 http://www.bunkyo.ac.jp/faculty/business/ 1 [1, 2, 3, 4, 5, 8, 9, 10, 12, 15] The

More information