S1C31D50 (rev1.0) 32-bit Single Chip Microcontroller ARM 32- ビット RISC CPU コア Cortex -M0+ 192K バイト Flash メモリ (Program& 音声共用 ) 8K バイト RAM を内蔵 2ch 音声ミキシン

Size: px
Start display at page:

Download "S1C31D50 (rev1.0) 32-bit Single Chip Microcontroller ARM 32- ビット RISC CPU コア Cortex -M0+ 192K バイト Flash メモリ (Program& 音声共用 ) 8K バイト RAM を内蔵 2ch 音声ミキシン"

Transcription

1 (rev1.0) 32-bit Single Chip Microcontroller ARM 32- ビット RISC CPU コア Cortex -M0+ 192K バイト Flash メモリ (Program& 音声共用 ) 8K バイト RAM を内蔵 2ch 音声ミキシング再生 話速変換機能を CPU リソースなく実行 自己メモリ診断機能を CPU リソースなく実行 DESCRIPTIONS は ARM Cortex -M0+ プロセッサ HW Processor と呼ぶ特定ハードウエアブロックを搭載した低電力動作を特長とする 32 ビット MCU です HW Processor により バックグラウンドミュージック + 音声の 2ch 再生 話速変換 また自己メモリ診断を CPU リソース不要で実行でき 家電 住設 電池駆動機器など音声再生機能を有する製品に適しています また音声再生フォーマットには高圧縮高音質アルゴリズムを採用しており 多言語の搭載も可能となります さらに EPSON 音声作成 PC ツールを使用することにより 容易な音声生成 開発が可能です ( 音声作成ツールは 日本語 英語 中国語 (Mandarin) 韓国語をサポートします 声はすべて女性 ) FEATURES Model CPU CPU core ARM 32 ビット RISC CPU コア Cortex -M0+ Other シリアルワイヤデバッグポート (SW-DP), マイクロトレースバッファ (MTB) を内蔵内蔵 Flash メモリ容量 192K bytes (Program& 音声 ROM 共用 ) Erase/program count 1,000 回 (min.) * 専用フラッシュローダからの書き換え時 Other オンボード書き換えが可能 Flash プログラミング電圧を内部生成可能内蔵 RAM 内蔵 RAM 8K bytes + 14K bytes (HW Processor 未使用時 ) 命令キャッシュ 512 bytes HW Processor Voice Audio 再生機能 Voice/Audio アルゴリズム EPSON 独自高圧縮高音質フォーマット再生チャネル数 2 チャンネルミキシングサポート ( バックグラウンドミュージック + 音声再生にも最適 ) サンプリング周波数 kHz, ( バックグラウンドミュージック + 音声再生にも最適 ) ビットレート 16/24/32/40 kbps 話速変換機能 75% - 125% (5% ステップ ) 自己メモリ診断機能内蔵 RAM チェック W/R チェック MARCH-C 内蔵 Flash チェック Checksum, CRC 外付け SPI-Flash チェック Checksum, CRC Sound DAC サンプリング周波数 kHz シリアルインターフェース UART (UART3) 3 チャネルボーレート生成回路内蔵, IrDA1.0 対応オープンドレイン出力, 信号極性, ボーレート分周比を選択可能赤外線通信用キャリア変調出力機能同期式シリアルインタフェース (SPIA) 3 チャネル転送データ長を 2 ~ 16 ビットに設定可能マスタモードのボーレート生成回路として 16 ビットタイマ (T16) を使用可能. 同期式クワッドシリアルインタフェース (QSPI) 1 チャネルシングル デュアル クワッド転送モードに対応 XIP(eXecute-In-Place) モードを持つ外部フラッシュメモリを直接リード可能な CPU のオーバーヘッドが低いメモリマップドアクセス機能. I 2 C (I2C) 3 チャネルボーレート生成回路内蔵 DMA コントローラー (DMAC) チャネル数 4 チャネルデータ転送パスメモリ メモリ, メモリ 周辺回路, 周辺回路 メモリ転送モード通常転送モード, ピンポン転送モード, スキャッタ / ギャザー転送モード DMA トリガーソース UART3, SPIA, QSPI, I2C, T16B, ADC12A, and software

2 クロックジェネレータ (CLG) システムクロックソース 4 種類 (IOSC/OSC1/OSC3/EXOSC) システムクロック周波数 ( 動作周波数 ) VD1 電圧モード = mode0: 16.0MHz (max.) VD1 電圧モード = mode1: 2.0MHz (max.) IOSC 発振回路 ( 起動クロックソース ) VD1 電圧モード = mode0: 8/2/1MHz (typ.) からソフトウエアにて選択可能 VD1 電圧モード = mode1: 2/1 MHz (typ.) からソフトウエアにて選択可能 10 μs (max.) の起動時間 (SLEEP 状態から CPU がベクタテーブルを読み出すまでの時間 ) OSC1 発振回路 khz (typ.) 水晶発振回路 32 khz (typ.) 内蔵発振回路 発振停止検出回路内蔵 OSC3 発振回路 16 MHz (max.) 水晶 / セラミック発振回路 16/8/4MHz(typ) 内蔵発振回路 EXOSC クロック入力 16 MHz (max.) 矩形波またはサイン波入力 その他 システムクロックの分周比を設定可能 SLEEP 復帰時のシステムクロックを任意に設定可能 CPU とすべての周辺回路が, 任意に選択されたクロック周波数で動作可能. 入出力ポート (PPORT) 汎用入出力ポート数 PKG48pin : 39 本 (max.) PKG64pin : 55 本 (max.) PKG80pin : 71 本 (max.) PKG100pin : 91 本 (max.) ユニバーサルポートマルチプレクサ () 対応ポート数 入力割り込みポート数 PKG48pin : 33 本 (max.) PKG64pin : 49 本 (max.) PKG80pin : 65 本 (max.) PKG100pin : 85 本 (max.) ユニバーサルポートマルチプレクサ PKG48pin : 16 本 (max.) () 対応ポート数 PKG64pin : 24 本 (max.) PKG80pin : 27 本 (max.) PKG100pin : 32 本 (max.) ソフトウェアで選択した周辺回路入出力機能を各ポートに割り付け可能 タイマ ウォッチドックタイマ (WDT2) NMI, またはウォッチドッグタイマリセットを生成. NMI/ リセット発生周期を設定可能 リアルタイムクロック (RTCA) 128 ~ 1 Hz カウンタ, 秒 / 分 / 時 / 日 / 曜日 / 月 / 年カウンタ 1 秒補正のための論理緩急機能 アラーム機能, ストップウォッチ機能 16 ビットタイマ (T16) 8 チャネル SPIA と QSPI のマスタクロック ADC12A の動作クロック / トリガ信号を生成. 16 ビット PWM タイマ (T16B) 2 チャネル イベントカウンタ / キャプチャ機能 PWM 波形生成機能 PWM 出力またはキャプチャ入力ポート数 : 4 ポート / チャネル 電源電圧検出回路 (SVD3) チャネル数 1 チャネル 検出電圧 VDD または外部電圧 (2 つの外部電圧検出ポートを搭載 ) 検出レベル VDD: 28 値 (1.8 ~ 5.0 V)/ 外部電圧 : 32 値 (1.2 ~ 5.0 V) その他 間欠動作モード 検出レベル判定で割り込み, またはリセットを発生. 12 ビット A/D 変換器 (ADC12A) 変換方式 逐次比較型 分解能 12 bits 変換チャネル数 1 チャネル アナログ信号入力数 8 ポート / チャネル (max)l R/F 変換器 (RFC) 変換方式 CR 発振型 24 ビットカウンタ 変換チャンネル数 1 チャネル 対応センサ DC バイアス抵抗性センサ IR リモートコントローラ (REMC3) 送信チャネル数 1 チャネル その他 応用として EL ランプ駆動波形を生成可能 ( ハードウェア ) 出力反転機能 リセット #RESET 端子 リセット端子 Low レベル検出時 パワーオンリセット 電源投入時 ブラウンアウトリセット 電源電圧低下時 (VDD 1.45 V (typ.) 検出時 ) ウォッチドックタイマリセット ウォッチドッグタイマオーバーフロー時 ( レジスタで ON/OFF 設定可能 ) 電源電圧検出回路リセット 電源電圧検出回路による設定電圧検出時 ( レジスタで ON/OFF 設定可能 ) 割り込みノンマスカブル割り込みプログラマブル割り込み 6 本 ( リセット, NMI, ハードフォルト, SVCall, PendSV, SysTick) 外部割り込み : 3 本内部割り込み : 27 本 2

3 電源電圧 VDD 動作電圧 1.8 ~ 5.5 V * VDD > 3.6 V の場合, VD1 電圧モードは mode0 Flash 書き換え時 VDD 動作電圧 2.4 ~ 5.5 V (VPP 電圧外部印加時 ) 2.7 ~ 5.5 V (VPP 電圧内部生成時 ) 外付け SPI-Flash interface VDDQSPI 3.0 to 3.6V ( メインの VDD 電圧を 5V, SPI-Flash 電源電圧を 3.3V で使用可能 ) 動作温度 動作温度範囲 -40 to 85 C 消費電流 (Typ. value) SLEEP モード * µa (TBD) IOSC = OFF, OSC1 = OFF, OSC3 = OFF 0.95 µa (TBD) IOSC = OFF, OSC1 = khz (crystal oscillator), OSC3 = OFF, RTCA = ON HALT モード *2 1.7 µa (TBD) IOSC = OFF, OSC1 = khz (crystal oscillator), OSC3 = OFF RUN モード 250 µa/mhz (TBD) VD1 電圧モード = mode0, CPU = IOSC 155 µa/mhz (TBD) VD1 電圧モード = mode1, CPU = IOSC 出荷形態 1 TQFP12-48 ( 7mm x 7mm, 0.5mm pitch) 2 QFP13-64 (10mm x 10mm, 0.5mm pitch) 3 TQFP14-80 (12mm x 12mm, 0.5mm pitch) 4 QFP (14mm x 14mm, 0.5mm pitch) *1 Cortex -M0+ プロセッサのディープスリープモードに相当します *2 Cortex -M0+ プロセッサのスリープモードに相当します ブロック図 Cache controller SWCLK SWD CPU core, Interrupt controller, and debugger (Cortex -M0+) Cache RAM 512 bytes MTB RAM 8K bytes #RESET V DD V SS System clock IOSC oscillator OSC1 oscillator Clock generator (CLG) OSC3 oscillator EXOSC oscillator System reset controller (SRC) Power-on reset/ Brown-out reset (POR/BOR) Power generator (PWGA) V PP 16-bit peripheral bus DMA request Interrupt I/O port01 (PPORT) I/O port23 (PPORT) I/O portothers (PPORT) Watchdog timer (WDT2) Real-time clock (RTCA) Supply voltage detector (SVD3) 1 Ch. 16-bit timer (T16) 8Ch. IR remote controller (REMC2) 1Ch. Flash memory 192K bytes DMA controller 4 Ch. P00-07 P10-17 P20-27 P30-37 P40-47 P50-57 P60-67 P70-77 P80-87 P90-95 PA0-A6 EXSVD0-1 REMO CLPLS 32-bit AHB bus RAM 14K bytes Quad synchronous serial interface (QSPI) 1 Ch. Synchronous serial interface (SPIA) 3 Ch. I2C (I2C) 3 Ch. UART (UART3) 3 Ch. 16-bit PWM timer (T16B) 2 Ch. R/F converter (RFC) 1 Ch. 12bit A/D convertor (ADC12A) 1 Ch. Sound_DAC 1 Ch. HW Processor VDDQSPI QSDIO00-03 QSPICLK0 #QSPISS0 SDI0-2 SDO0-2 SPICLK0-2 #SPISS0-2 SDA0-2 SCL0-2 USIN0-2 USOUT0-2 TOUT00-03 TOUT10-13 CAP00-03 CAP10-13 EXCL00-01 EXCL10-11 RFIN0 REF0 SENA0 SENB0 EFCLKO0 #ADTRG ADIN00-07 VREFA0 SOUNDO_P SOUNDO_N

4 端子配置図 TQFP12-48 VSS VD1 PD3/OSC4 PD2/OSC3 VDACOUT_N/P51 VDACOUT_P/P50 P23/RFIN0/ P22/REF0/ P21/SENA0/ P20/SENB0/ P62/EXSVD1 P61/EXSVD #RESET P46/RTC1S VDD P45/#ADTRG OSC P40/VREFA OSC P17//ADIN0 P83/EXOSC P16//ADIN1 P84/EXCL P15//ADIN2 TQFP12-48 P85/EXCL P14//ADIN3 P72/EXCL P13//ADIN4 P73/EXCL P06/ SWCLK/PD P05/ SWD/PD P04/ TEST P03/ PA3/FOUT VDDQSPI P95/#QSPISS0 P94/QSDIO03 P93/QSDIO02 P92/QSDIO01 P91/QSDIO00 P90/QSPICLK0 VPP P32/CLPLS/ P31/REMO/ P30/RFCLKO0/ 4

5 QFP13-64 VSS VD1 PD3/OSC4 PD2/OSC3 VDACOUT_N/P51 VDACOUT_P/P50 P27/ P26/ P25/ P24/ P23/RFIN0/ P22/REF0/ P21/SENA0/ P20/SENB0/ P62/EXSVD1 P61/EXSVD #RESET 49 VDD 50 OSC1 51 OSC2 52 P81 53 P82 54 P83/EXOSC 55 P84/EXCL00 56 P85/EXCL01 57 P70 58 P71 59 P72/EXCL10 60 P73/EXCL11 61 SWCLK/PD0 62 SWD/PD1 63 TEST 64 QFP P46/RTC1S 31 P45/#ADTRG 30 P44 29 P43 28 P40/VREFA 27 P17//ADIN0 26 P16//ADIN1 25 P15//ADIN2 24 P14//ADIN3 23 P13//ADIN4 22 P12//ADIN5 21 P11//ADIN6 20 P06/ 19 P05/ 18 P04/ 17 P03/ PA3/FOUT PA2 PA1 VDDQSPI P95/#QSPISS0 P94/QSDIO03 P93/QSDIO02 P92/QSDIO01 P91/QSDIO00 P90/QSPICLK0 VPP P34/ P33/ P32/CLPLS/ P31/REMO/ P30/RFCLKO0/

6 TQFP14-80pin VSS VD1 PD3/OSC4 PD2/OSC3 P53 P52 VDACOUT_N/P51 VDACOUT_P/P50 P27/ P26/ P25/ P24/ P23/RFIN0/ P22/REF0/ P21/SENA0/ P20/SENB0/ P64 P63 P62/EXSVD1 P61/EXSVD #RESET 61 VDD 62 OSC1 63 OSC2 64 P80 65 P81 66 P82 67 P83/EXOSC 68 P84/EXCL00 69 P85/EXCL01 70 P86 71 P87 72 P70 73 P71 74 P72/EXCL10 75 P73/EXCL11 76 P74 77 SWCLK/PD0 78 SWD/PD1 79 TEST 80 TQFP P46/RTC1S 39 P45/#ADTRG 38 P44 37 P43 36 P42 35 P41 34 P40/VREFA 33 P17//ADIN0 32 P16//ADIN1 31 P15//ADIN2 30 P14//ADIN3 29 P13//ADIN4 28 P12//ADIN5 27 P11//ADIN6 26 P10//ADIN7 25 P07/ 24 P06/ 23 P05/ 22 P04/ 21 P03/ PA4 PA3/FOUT PA2 PA1 PA0 VDDQSPI P95/#QSPISS0 P94/QSDIO03 P93/QSDIO02 P92/QSDIO01 P91/QSDIO00 P90/QSPICLK0 VPP P35/ P34/ P33/ P32/CLPLS/ P31/REMO/ P30/RFCLKO0/ PD4 6

7 QFP15-100pin VSS VD1 PD3/OSC4 PD2/OSC3 P55 P54 P53 P52 VDACOUT_N/P51 VDACOUT_P/P50 P27/ P26/ P25/ P24/ P23/RFIN0/ P22/REF0/ P21/SENA0/ P20/SENB0/ P67 P66 P65 P64 P63 P62/EXSVD1 P61/EXSVD #RESET 76 VDD 77 OSC1 78 OSC2 79 P56 80 P57 81 P80 82 P81 83 P82 84 P83/EXOSC 85 P84/EXCL00 86 P85/EXCL01 87 P86 88 P87 89 P70 90 P71 91 P72/EXCL10 92 P73/EXCL11 93 P74 94 P75 95 SWCLK/PD0 96 SWD/PD1 97 TEST 98 P76 99 P QFP P60 49 P47 48 P46/RTC1S 47 P45/#ADTRG 46 P44 45 P43 44 P42 43 P41 42 P40/VREFA 41 P17//ADIN0 40 P16//ADIN1 39 P15//ADIN2 38 P14//ADIN3 37 P13//ADIN4 36 P12//ADIN5 35 P11//ADIN6 34 P10//ADIN7 33 P07/ 32 P06/ 31 P05/ 30 P04/ 29 P03/ 28 P02/ 27 P01/ 26 P00/ PA6 PA5 PA4 PA3/FOUT PA2 PA1 PA0 VDDQSPI P95/#QSPISS0 P94/QSDIO03 P93/QSDIO02 P92/QSDIO01 P91/QSDIO00 P90/QSPICLK0 P37/ VPP P36/ P35/ P34/ P33/ P32/CLPLS/ P31/REMO/ P30/RFCLKO0/ PD5 PD4

8 端子説明 記号説明割り当て信号 : 各端子の最上部に記載されている信号が イニシャル状態で端子に割り当てられる信号です その他の信号にはソフトウェアで切り換えます I/O: I = 入力 O = 出力 I/O = 入出力 P = 電源 A = アナログ信号 Hi-Z = ハイインピーダンス状態 イニシャル状態 :: I (Pull-up) = プルアップ入力 I (Pull-down) = プルダウン入力 Hi-Z = ハイインピーダンス状態 O (H) = HIGH レベル出力 O (L) = LOW レベル出力 トレラント フェイルセーフ対応 : = トレラント フェイルセーフ対応 I/O セル内蔵 8 端子名割り当て信号 I/O イニシャル状態 トレラント フェイルセーフ対応 VDD VDD P - - 電源 (+) VSS VSS P - - GND VPP VPP P - - Flash プログラミング電源 VD1 VD1 A - - VD1 レギュレータ出力 VDDQSPI VDDQSPI P - - SPI Flash インターフェイス用電源. OSC1 OSC1 A - - OSC1 発振回路入力 OSC2 OSC2 A - - OSC1 発振回路出力 TEST TEST I I(Pull-down) - テストモードイネーブル入力 #RESET #RESET I I(Pull-up) - リセット入力 P00 P00 I/O Hi-Z I/O port P01 P01 I/O Hi-Z I/O port P02 P02 I/O Hi-Z I/O port P03 P03 I/O Hi-Z I/O port P04 P04 I/O Hi-Z I/O port P05 P05 I/O Hi-Z I/O port P06 P06 I/O Hi-Z I/O port 機能

9 P07 P07 I/O Hi-Z I/O port P10 P10 I/O Hi-Z - I/O port ADIN7 ADC ch.0 P11 P11 I/O Hi-Z - I/O port ADIN6 ADC ch.0 P12 P12 I/O Hi-Z - I/O port ADIN5 ADC ch.0 P13 P13 I/O Hi-Z - I/O port ADIN4 ADC ch.0 P14 P14 I/O Hi-Z - I/O port ADIN3 ADC ch.0 P15 P15 I/O Hi-Z - I/O port ADIN2 ADC ch.0 P16 P16 I/O Hi-Z - I/O port ADIN1 ADC ch.0 P17 P17 I/O Hi-Z - I/O port ADIN0 ADC ch.0 P20 P20 I/O Hi-Z I/O port SENB0 RFC P21 P21 I/O Hi-Z I/O port SENA0 RFC P22 P22 I/O Hi-Z I/O port REF0 RFC P23 P23 I/O Hi-Z I/O port RFIN0 RFC - - P24 P24 I/O Hi-Z I/O port - - P25 P25 I/O Hi-Z I/O port

10 P26 P26 I/O Hi-Z I/O port P27 P27 I/O Hi-Z I/O port P30 P30 I/O Hi-Z I/O port RFCLKO0 RFC P31 P31 I/O Hi-Z I/O port REMO REMC2 P32 P32 I/O Hi-Z I/O port CLPLS REMC2 P33 P33 I/O Hi-Z I/O port P34 P34 I/O Hi-Z I/O port P35 P35 I/O Hi-Z I/O port P36 P36 I/O Hi-Z I/O port P37 P37 I/O Hi-Z I/O port P40 P40 I/O Hi-Z - I/O port VREFA ADC ch.0 P41 P41 I/O Hi-Z I/O port P42 P42 I/O Hi-Z I/O port P43 P43 I/O Hi-Z I/O port P44 P44 I/O Hi-Z I/O port 10

11 P45 P45 I/O Hi-Z I/O port #ADTRG ADC P46 P46 I/O Hi-Z I/O port RTC1S RTCA P47 P47 I/O Hi-Z I/O port P50 VDACOUT_P I/O O(L) VDAC(default) P50 I/O port P51 VDACOUT_N I/O O(L) VDAC(default) P51 I/O port P52 P52 I/O Hi-Z I/O port P53 P53 I/O Hi-Z I/O port P54 P54 I/O Hi-Z I/O port P55 P55 I/O Hi-Z I/O port P56 P56 I/O Hi-Z I/O port P57 P57 I/O Hi-Z I/O port P60 P60 I/O Hi-Z I/O port P61 P61 I/O Hi-Z I/O port EXSVD0 SVD3 Ch.0 P62 P62 I/O Hi-Z I/O port EXSVD1 SVD3 Ch.0 P63 P63 I/O Hi-Z I/O port

12 P64 P64 I/O Hi-Z I/O port P65 P65 I/O Hi-Z I/O port P66 P66 I/O Hi-Z I/O port P67 P67 I/O Hi-Z I/O port P70 P70 I/O Hi-Z I/O port P71 P71 I/O Hi-Z I/O port P72 P72 I/O Hi-Z I/O port EXCL10 T16B Ch.1 P73 P73 I/O Hi-Z I/O port EXCL11 T16B Ch.1 P74 P74 I/O Hi-Z I/O port P75 P75 I/O Hi-Z I/O port P76 P76 I/O Hi-Z I/O port P77 P77 I/O Hi-Z I/O port P80 P80 I/O Hi-Z I/O port P81 P81 I/O Hi-Z I/O port P82 P82 I/O Hi-Z I/O port 12

13 P83 P83 I/O Hi-Z I/O port EXOSC CLG P84 P84 I/O Hi-Z I/O port EXCL00 T16B Ch.0 P85 P85 I/O Hi-Z I/O port EXCL01 T16B Ch.0 P86 P86 I/O Hi-Z I/O port P87 P87 I/O Hi-Z I/O port P90 P90 I/O Hi-Z I/O port QSPICLK0 QSPI Ch.0 P91 P91 I/O Hi-Z I/O port QSDIO00 QSPI Ch.0 P92 P92 I/O Hi-Z I/O port QSDIO01 QSPI Ch.0 P93 P93 I/O Hi-Z I/O port QSDIO02 QSPI Ch.0 P94 P94 I/O Hi-Z I/O port QSDIO03 QSPI Ch.0 P95 P95 I/O Hi-Z I/O port #QSPISS0 QSPI Ch.0 PA0 PA0 I/O Hi-Z I/O port PA1 PA1 I/O Hi-Z I/O port PA2 PA2 I/O Hi-Z I/O port PA3 PA3 I/O Hi-Z I/O port FOUT CLG

14 PA4 PA4 I/O Hi-Z I/O port PA5 PA5 I/O Hi-Z I/O port PA6 PA6 I/O Hi-Z I/O port PD0 SWCLK I/O (Pull-up) DBG(default) PD0 I/O port PD1 SWD I/O (Pull-up) DBG(default) PD1 I/O port PD2 PD2 I/O Hi-Z - I/O port OSC3 CLG PD3 PD3 I/O Hi-Z - I/O port OSC4 CLG PD4 PD4 I/O Hi-Z I/O port PD5 PD5 I/O Hi-Z I/O port ユニバーサルポートマルチプレクサ () についてユニバーサルポートマルチプレクサ () は 端子に割り付ける以下の周辺回路入出力機能を ソフトウェアによって自由に選択できる機能です ただし 一つの機能を同時に複数の端子に割り当てることはできません Peripheral Signal I/O Ch.No. Function I2C SCLn I/O n=0,1,2 - I2C Ch.n clock input/output SDAn I/O - I2C Ch.n data input/output UART USINn I n=0,1,2 - UART Ch,n data input USOUTn O - UART Ch,n data output SPI SDIn I n=0,1,2 - SPIA ch.n data input SDOn O - SPIA ch.n data output SPICLKn I/O - SPIA Ch.n clock input/output #SPISSn I - SPIA Ch.n slave-select input PWM timer TOUTn0/CAPn0 I/O n=0,1-16-bit PWM timer Ch.n PWM output / caputure input 0 TOUTn1/CAPn1 I/O - 16-bit PWM timer Ch.n PWM output / caputure input 1 TOUTn2/CAPn2 I/O - 16-bit PWM timer Ch.n PWM output / caputure input 2 TOUTn3/CAPn3 I/O - 16-bit PWM timer Ch.n PWM output / caputure input 3 14

15 Pin Details QFP TQFP14-80 QFP13-64 TQFP12-48 Pin. No Pin. No Pin. No Pin. No Pin Name Function 1 1 PD4 PD4 2 PD5 PD P30 P30/RFCLKO0/ P31 P31/REMO/ P32 P32/CLPLS/ P33 P33/ P34 P34/ 8 7 P35 P35/ 9 P36 P36/ VPP VPP 11 P37 P37/ P90 P90/QSPICLK P91 P91/QSDIO P92 P92/QSDIO P93 P93/QSDIO P94 P94/QSDIO P95 P95/#QSPISS VDDQSPI VDDQSPI PA0 PA PA1 PA PA2 PA PA3 PA3/FOUT PA4 PA4 24 PA5 PA5 25 PA6 PA6 26 P00 P00/ 27 P01 P01/ 28 P02 P02/ P03 P03/ P04 P04/ P05 P05/ P06 P06/ P07 P P10 P10//ADIN P11 P11//ADIN P12 P12//ADIN P13 P13//ADIN P14 P14//ADIN P15 P15//ADIN P16 P16//ADIN P17 P17//ADIN P40 P40/VREFA P41 P P42 P P43 P P44 P P45 P45/#ADTRG P46 P46/RTC1S 49 P47 P47 50 P60 P P61 P61/EXSVD P62 P62/EXSVD P63 P P64 P64 55 P65 P65 56 P66 P66 57 P67 P67

16 P20 P20/SENB0/ P21 P21/SENA0/ P22 P22/REF0/ P23 P23/RFIN0/ P24 P24/ P25 P25/ P26 P26/ P27 P27/ P50 P50/VDACOUT_P P51 P51/VDACOUT_N P52 P P53 P53 70 P54 P54 71 P55 P PD2 PD2/OCS PD3 PD3/OSC VD1 VD VSS VSS #RESET #RESET VDD VDD OSC1 OSC OSC2 OSC2 80 P56 P56 81 P57 P P80 P P81 P P82 P P83 P83/EXOSC P84 P84/EXCL P85 P85/EXCL P86 P P87 P P70 P P71 P P72 P72/EXCL P73 P73/EXCL P74 P74 95 P75 P PD0 PD0/SWCLK PD1 PD1/SWD TEST TEST 99 P76 P P77 P77 16

17 EPSON 音声作成 PC ツール EPSON の音声作成ツールは 音声データの作成に声優やスタジオを手配する必要がなく リソース 時間 コストの大幅な削減に貢献いたします PC 上の TTS(Text-To-Speech) ツールは 日本語 英語 中国語 (Mandarin) 韓国語をサポート ( 声は女性のみ ) しており 音声データの作成 / 編集が簡単にできます また 現在ご所有の音声データも容易にツール内に取り込むことができます. テキスト入力 (wav file インポート ) ( 発音編集 ) ( 整列 ) ( フレーズ間 Delay 調整 Sound ROM 作成 Sound ROM 作成にはボタンを押すのみ

18 基本外部結線図 VDD EXSVDn External voltage VD1 VDDQSPI VSS OSC1 OSC2 OSC3 OSC4 #RESET TEST REMO Pxy SDIn SDOn SPICLKn #SPISSn QSDIO00 QSDIO01 QSDIO02 QSDIO03 QSPICLK0 #QSPISS0 VDACOUT_P VDACOUT_N SCLn SDAn USINn USOUTn TOUTn0/CAPn0 : : TOUTn3/CAPn3 IR transmitter module I/O SPI QSPI VOICE/AUDIO I2C UART PWM/Captur ADIN00-07 #ADTRG0 VREFA0 A/D conversion inputs CVREFA VDD SWCLK SWD VPP Debugging tool Single Connection to AMP Low Pass Filter: cutoff 8kHz PORT VDACOUT_P Enable Control 510Ω 39uF 510Ω 39uF Cin Rin IN- Enable IN+ Differential Connection to AMP Low Pass Filter: cutoff 8kHz PORT Enable Control VDACOUT_P VDACOUT_N 510Ω 39uF 510Ω 39uF 510Ω 39uF 510Ω 39uF Cin Cin Rin Rin IN- IN+ Enable 18

19

20

21

22

23 改訂履歴 改訂内容日付 Rev. Page Type Details 2018/7/ All New 初版 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し 弊社はいかなる保証を行うものではありません また 本資料によって第三者または弊社の知的財産権およびその他の権利の実施権の許諾を行うものではありません 3. 特性値の数値の大小は 数直線上の大小関係で表しています 4. 製品および弊社が提供する技術を輸出等するにあたっては 外国為替および外国貿易法 を遵守し 当該法令の定める手続きが必要です 大量破壊兵器の開発等およびその他の軍事用途に使用する目的をもって製品および弊社が提供する技術を費消 再販売または輸出等しないでください 5. 本資料に掲載されている製品は 生命維持装置その他 きわめて高い信頼性が要求される用途を前提としていません よって 弊社は本 ( 当該 ) 製品をこれらの用途に用いた場合のいかなる責任についても負いかねます 6. 本資料に掲載されている会社名 商品名は 各社の商標または登録商標です 7. ARM および Cortex は ARM Limited( またはその子会社 ) の EU またはその他の国における登録商標です All rights reserved. SEIKO EPSON CORPORATION 2018, All rights reserved 営業本部デバイス営業部 東京 東京都日野市日野 TEL (042) ( 直通 ) FAX (042) 大阪 大阪府大阪市北区中之島 3 丁目 3 番 23 号中之島ダイビル 22 階 TEL (06) ( 代表 ) FAX (06) ドキュメントコード : 年 7 月作成

S1C17M20/M23 S1C17M21/M24 S1C17M22/M25 24PKG 32PKG (SVD3) (1, ) : 28 ( V)/: 32 ( V), UART(UART3) 2, IrDA1.0,, (SPIA) I 2 C(I2C)

S1C17M20/M23 S1C17M21/M24 S1C17M22/M25 24PKG 32PKG (SVD3) (1, ) : 28 ( V)/: 32 ( V), UART(UART3) 2, IrDA1.0,, (SPIA) I 2 C(I2C) (rev1.1) 16-bit Single Chip Microcontroller /16KB/32KB Flash ROM 1.8 5.5 V (0.7 µa, HALT ) 12A/D (UART, SPI, I 2 C) EEPROM S1C17M20/M21/M22/M23/M24/M25 Flash 16MCU Flash EEPROM A/D 16CPUFA A/D S1C17M20/M23

More information

S1C17W12 S1C17W13 (WDT2) (RTCA) 16 (T16) 16PWM (T16B) SQFN7-48pin TQFP12-48pin SQFN7-48pin NMI, NMI/ Hz, / / / // / 1, 3 SPIA 2 / PWM PWM : 2/ (

S1C17W12 S1C17W13 (WDT2) (RTCA) 16 (T16) 16PWM (T16B) SQFN7-48pin TQFP12-48pin SQFN7-48pin NMI, NMI/ Hz, / / / // / 1, 3 SPIA 2 / PWM PWM : 2/ ( (rev1.1) 16-bit Single Chip Microcontroller 1 1.2 V (0.3 µa HALT ) 18 26 4 LCD (UART, SPI, I 2 C) S1C17W12/W13Flash1.2 V 16MCU DC-DC 4MCU LCD PWM 16CPU S1C17W12 S1C17W13 SQFN7-48pin TQFP12-48pin SQFN7-48pin

More information

S1C17M30 S1C17M31 S1C17M32 S1C17M33 S1C17M34 (SVD3) (1, ) : 28 ( V)/: 32 ( V), UART(UART3) 2, IrDA1.0,, (SPIA) (T16) I 2 C(I2C)

S1C17M30 S1C17M31 S1C17M32 S1C17M33 S1C17M34 (SVD3) (1, ) : 28 ( V)/: 32 ( V), UART(UART3) 2, IrDA1.0,, (SPIA) (T16) I 2 C(I2C) (rev1.0) 16-bit Single Chip Microcontroller /48K 96KB Flash ROM, 4KB RAM 1.8 5.5 V 12A/D 22 46 8LCD (UART, SPI, I 2 C) S1C17M30/M31/M32/M33/M34 Flash 16MCU LCDA/D 16CPU S1C17M30/M31/ M32/M33/M34 FlashEEPROM

More information

16 ビット PWM タイマ (T16B) 2 チャネル 3チャネル イベントカウンタ / キャプチャ機能 PWM 波形生成機能 PWM 出力またはキャプチャ入力ポート数 : 2 ポート / チャネル 電源電圧検出回路 (SVD) 検出レベル 30 値 (1.2~3.6 V) その他 間欠動作モード

16 ビット PWM タイマ (T16B) 2 チャネル 3チャネル イベントカウンタ / キャプチャ機能 PWM 波形生成機能 PWM 出力またはキャプチャ入力ポート数 : 2 ポート / チャネル 電源電圧検出回路 (SVD) 検出レベル 30 値 (1.2~3.6 V) その他 間欠動作モード 概要 16-bit Single Chip Microcontroller 1.2 V からの低電圧動作に対応し アルカリ 酸化銀ボタン電池 1 個での動作を実現 HLT 0.3 μ の超低消費電力のスタンバイ動作を実現 * スーパーエコノミーモード時 最大 56SEG 24COM の LCD ドライバ内蔵 R/F 変換器内蔵で 様々なセンシングに対応 S1C17W22/W23 は Flash メモリを搭載しながらも

More information

タイマ ウォッチドッグタイマ (WDT) NMI, またはウォッチドッグタイマリセットを 生 成 リアルタイムクロック (RTCA) 128~1 Hz カウンタ 秒 / 分 / 時 / 日 / 曜 日 / 月 / 年 カウンタ 1 秒 補 正 のための 論 理 緩 急 機 能 アラーム 機 能 スト

タイマ ウォッチドッグタイマ (WDT) NMI, またはウォッチドッグタイマリセットを 生 成 リアルタイムクロック (RTCA) 128~1 Hz カウンタ 秒 / 分 / 時 / 日 / 曜 日 / 月 / 年 カウンタ 1 秒 補 正 のための 論 理 緩 急 機 能 アラーム 機 能 スト (rev 1.00) 16-bit Single Chip Microcontroller 1.2 V からの 低 電 圧 動 作 に 対 応 し アルカリ 酸 化 銀 ボタン 電 池 1 個 での 動 作 を 実 現 HALT 0.5μA の 超 低 消 費 電 力 のスタンバイ 動 作 を 実 現 最 大 30SEG 8COM の LCD ドライバ 内 蔵 R/F 変 換 器 4ch 内 蔵 で

More information

評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価

評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価 S1V50300 評価キット NEWCASTLE 版 Rev.1.00 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価ボード キット 開発ツールは

More information

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している USB 用バススイッチ IC 2 to 1 Bus Switch 概要 は USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用しているため 高密度実装への対応が可能です 本 IC の入力にレベルシフト回路内蔵のため 外付けレベルシフト回路は不要です 特長 入力電圧範囲 :3.0V~3.6V

More information

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません

More information

評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価

評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価 S1V3S344 評価キット スタートガイド (NEWCASTLE ボード版 ) Rev.1.00 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価ボード

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

S1F77330 シリーズテクニカルマニュアル Rev.2.1

S1F77330 シリーズテクニカルマニュアル Rev.2.1 シリーズテクニカルマニュアル Rev.2.1 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で S1V3S344 評価キット スタートガイド Rev.1.10 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これら起因する第三者の権利 (

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

メモリ空間 - 最大 16M バイトの空間をアクセス可能 (24 ビットアドレス ) DSP MUL( 乗算演算 ) ビット (1 サイクル ) MAC( 積和演算 ) ビット (1サイクル) DIV( 除算演算 ) ビット (17~20 サイクル ) 内蔵

メモリ空間 - 最大 16M バイトの空間をアクセス可能 (24 ビットアドレス ) DSP MUL( 乗算演算 ) ビット (1 サイクル ) MAC( 積和演算 ) ビット (1サイクル) DIV( 除算演算 ) ビット (17~20 サイクル ) 内蔵 CMOS 16bit Application Specific Controller 16 ビット RISC CPU コア S1C17 (Max. 33MHz 動作 ) 128K バイト Flash EEPROM 16K バイト RAM (IVRAM : CPU LCDC 共有 ) DSP 機能 (MUL MAC DIV) 10 ビット ADC I 2 S オーディオ DAC インターフェース 赤外線リモコン回路

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

内蔵メモリ Flash EEPROM - 128K バイト (S1C17501F0A) - 96K バイト (S1C17501F1A) RAM - 4K バイト - 2K バイト ( バッテリーバックアップ可能 ) 動作クロック メインクロック - 48MHz USB を使用する場合 - 1~48M

内蔵メモリ Flash EEPROM - 128K バイト (S1C17501F0A) - 96K バイト (S1C17501F1A) RAM - 4K バイト - 2K バイト ( バッテリーバックアップ可能 ) 動作クロック メインクロック - 48MHz USB を使用する場合 - 1~48M CMOS 16-bit Application Specific Controller 16 ビット RISC CPU コア S1C17(Max. 48MHz 動作 ) 128K バイト /96K バイト Flash ROM, 4K バイト + 2K バイト RAM(2KB は独立電源で動作可能 ) 積和演算機能 (16 ビット 16 ビット + 32 ビット MAC) USB FS デバイスコントローラを搭載

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

KEIm-08SoMハードウェアマニュアル

KEIm-08SoMハードウェアマニュアル KEIm-08SoM ハードウェアマニュアル Ver.1.1.2 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

PowerPoint Presentation

PowerPoint Presentation Welcome-Kit ~~ STM32L0-Nucleo ~~ はじめに 本書は ST マイクロエレクトロニクス株式会社が提供する development board Nucleo-L053R8 を 初めてでも簡単に動作させることができる をコンセプトに作成したドキュメントです 入門書として ツールの導入から各ペリフェラルの使い方まで一通り解説をしております 安価に評価できる環境をご紹介しておりますので

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

ETM55J-02 SG-8506CA Evaluation Board Manual SG-8506CA-EVB Preliminary

ETM55J-02 SG-8506CA Evaluation Board Manual SG-8506CA-EVB Preliminary SG-8506CA Evaluation Board Manual Preliminary 評価ボード キット 開発ツールご使用上の注意事項 1. 本評価ボード キット 開発ツールは お客様での技術的評価 動作の確認および開発のみに用いられることを想定し設計されています それらの技術評価 開発等の目的以外には使用しないで下さい 本品は 完成品に対する設計品質に適合していません 2. 本評価ボード キット

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

S1C60N05データシート

S1C60N05データシート PF19-2 Micro MN 4-bit Single Chip Microcomputer µ µ 1 SC2 SC1 RESET RM 1,56 words x 12 bits SC System Reset Control Core CPU S1C6B RAM 8 words x 4 bits nterrupt Generator CM~ SEG~19 LCD Driver nput Port

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

The DatasheetArchive - Datasheet Search Engine

The DatasheetArchive - Datasheet Search Engine 東芝 CMOS デジタル集積回路シリコンモノリシック TC4069UBP,TC4069UBF,TC4069UBFT TC4069UBP/TC4069UBF/TC4069UBFT Hex Inverter は 6 回路のインバータです 内部回路はシンプルな 1 段ゲート構成のため 本来のインバータの他に CR 発振回路 / 水晶発振回路 / リニアアンプ等の応用に適しています 1 段ゲート構成のため

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

TC7SET08FU_J_

TC7SET08FU_J_ CMOS デジタル集積回路 シリコンモノリシック 1. 機能 2-Input AND Gate 2. 特長 (1) AEC-Q100 (Rev. ) ( 注 1) (2) 動作温度が広い : T opr = -40125 ( 注 2) (3) 高速動作 : t pd = 4.2 ns ( 標準 ) ( CC = 5.0, C = 15 ) (4) 低消費電流 : = ( ) (T a = 25 )

More information

AN15880A

AN15880A DATA SHEET 品種名 パッケージコード QFH064-P-1414H 発行年月 : 2008 年 12 月 1 目次 概要.. 3 特長.. 3 用途.. 3 外形.. 3 構造...... 3 応用回路例.. 4 ブロック図.... 5 端子.. 6 絶対最大定格.. 8 動作電源電圧範囲.. 8 電気的特性. 9 電気的特性 ( 設計参考値 )... 10 技術資料.. 11 入出力部の回路図および端子機能の

More information

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア

2. 仕様 電源 :USB バスパワー (USB 入力の 5V 電源を使用します ) 出力 : 3.5mm ステレオジャック アナログステレオ出力 最大 20mArms 対応ヘッドホンインピーダンス 1Ω~500Ω RCA ピンジャック アナログ 2ch 出力 (L R) ラインレベル ヘッドホンア AK4495SEQ 搭載 USB DAC (I2C 付 ) 簡易取扱説明書 ( 呼称 :AK4495HA2) 2018-01-21 rev02 1. はじめに 本品は USB 接続のハイレゾ対応 D/A コンバータです パソコンなどで再生した音楽を出力します 特徴として 旭化成エレクトロニクスのハイエンド DAC AK4495SEQ を搭載してます また 内部に USB I2S 変換ドーターカードを搭載しています

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

UCB User's Manual

UCB User's Manual UCB-21489 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

EB-RL7023+SB/D2

EB-RL7023+SB/D2 RL7023 Stick/IPR ユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :2.0 2014/9/30-1 - 目次 1 本書の概要... 3 2 PC 動作環境の説明... 4 3 USB ドライバのインストール... 4 3.1 RL7023 Stick の接続... 4 3.2 USB ドライバのインストール... 4 3.3 USB ドライバのダウンロード... 5 4

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

高速度スイッチングダイオード

高速度スイッチングダイオード は簡単な構成で FM ステレオ送信を実現できる IC です ステレオコンポジット信号を作るステレオ変調器及び FM 信号を空中へ輻射するための FM トランスミッタで構成されています ステレオ変調器は 3kHz 発振器より MAIN SUB 及びパイロット信号からなるコンポジット信号を発生します FM トランスミッタは FM 帯のキャリアを発振させコンポジット信号によって FM 変調をかけ FM 波を空中に輻射します

More information

TC7SET125FU_J_

TC7SET125FU_J_ CMOS デジタル集積回路 シリコンモノリシック 1. 機能 Bus Buffer 2. 特長 (1) AEC-Q100 (Rev. H) ( 注 1) (2) 動作温度が広い : T opr = -40125 ( 注 2) (3) 高速動作 : t pd = 3.7 ( 標準 ) ( CC = 5.0, C = pf) (4) 低消費電流 : = ( ) (T a = 25 ) (5) TT レベル入力

More information

TC7SZU04AFS_J_

TC7SZU04AFS_J_ CMOS デジタル集積回路 シリコンモノリシック TC7SZU04AFS TC7SZU04AFS 1. 機能 Inverter (Unbuffer) 2. 特長 (1) AEC-Q100 (Rev. H) ( 注 1) (2) 動作温度が広い : T opr = -40125 ( 注 2) (3) 高出力電流 : ±32 ma ( ) ( CC = ) (4) 動作電圧範囲 : CC = (5) 入力端子に,

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

AN41904A

AN41904A DATA SHEET 品種名 パッケージコード UBGA064-P-0606ACA 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 応用回路例.... 5 端子説明... 6 絶対最大定格..... 8 動作電源電圧範囲. 8 次 2 カムコーダ用レンズドライバ ( アイリス制御内蔵 ) 概要 は, カムコーダ用レンズドライバ

More information

UMB-CP2114 User's Manual

UMB-CP2114 User's Manual UMB-CP2114 ユーザーズマニュアル 第 1 版 金子システム株式会社 1 ご注意 1. 本資料に記載されている内容は本資料発行時点のものであり 予告なく変更することがあります 当社製品のご購入およびご使用にあたりましては 当社ホームページを通じて公開される情報を参照ください 2. 当社から提供する情報の正確性と信頼性には万全を尽くしていますが 誤りがないことを保証するものではありません 当社はその使用に対する責任を一切負いません

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

RM0002-J01 Real Time Clock Module RTC-4543SA/SB RTC-4543SA RTC-4543SB Q Q

RM0002-J01 Real Time Clock Module RTC-4543SA/SB RTC-4543SA RTC-4543SB Q Q RM0002-J01 Real Time Clock Module RTC-4543SA/SB RTC-4543SA RTC-4543SB Q41454351000200 Q41454361000200 本マニュアルのご使用につきましては 次の点にご留意願います 1) 本カタログの内容については 予告なく変更することがあります 量産設計の際は最新情報をご確認ください 2) 本カタログの一部 または全部を弊社に無断で転載

More information

KEIm-25ヘッダーボードハードウェアマニュアル

KEIm-25ヘッダーボードハードウェアマニュアル Ver.1.0 はじめにこの度は KEIm 製品をお買い上げいただき誠にありがとうございます 本製品をご使用になる前に 本マニュアル及び関連資料を十分ご確認いただき 使用上の注意を守って正しくご使用ください 取扱い上の注意 本書に記載されている内容は 将来予告なく変更されることがあります 本製品のご使用にあたっては 弊社窓口又は弊社ホームページなどで最新の情報をご確認ください 本製品には一般電子機器用部品が使用されています

More information

Slide 1

Slide 1 MSP430 : 革新的な新技術 この資料は 次世代マイコン活用セミナー (2012) から FRAM 部分を抜粋したものです Ferroelectric RAM (FRAM) 1 FRAM 次世代 MCU メモリ 不揮発性 電源が切れてもデータを保持 EEPROM の代替え品として利用可能 高速書き込み / アップデート SRAM のようなパフォーマンスアクセスタイム : ~ 50ns/ バイト

More information

R1RW0408D シリーズ

R1RW0408D シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

mbed祭りMar2016_プルアップ.key

mbed祭りMar2016_プルアップ.key 1 2 4 5 Table 16. Static characteristics (LPC1100, LPC1100L series) continued T amb = 40 C to +85 C, unless otherwise specified. Symbol Parameter Conditions Min Typ [1] Max Unit Standard port pins, RESET

More information

TC7SHU04FU_J_

TC7SHU04FU_J_ CMOS デジタル集積回路 シリコンモノリシック 1. 機能 Inverter (Unbuffer) 2. 特長 (1) AEC-Q100 (Rev. H) ( 注 1) (2) 動作温度が広い : T opr = -40125 ( 注 2) (3) 高速動作 : t pd = 3.5 ns ( 標準 ) ( CC = 5.0, C L = ) (4) 低消費電流 : = ( ) (T a = 25

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information

TC4093BP/BF

TC4093BP/BF 東芝 CMOS デジタル集積回路シリコンモノリシック TC4093BP, TC4093BF TC4093BP/TC4093BF Quad 2-Input NAND Schmitt Triggers は 全入力端子にシュミットトリガ機能をもった 4 回路の 2 入力 NAND ゲートです すなわち 入力波形の立ち上がり時と立ち下がり時に回路しきい値電圧が異なる ( P N ) のため 通常の NAND

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

HD74HCT564, HD74HCT574

HD74HCT564, HD74HCT574 ご注意 安全設計に関するお願い 1. 弊社は品質 信頼性の向上に努めておりますが 半導体製品は故障が発生したり 誤動作する場合があります 弊社の半導体製品の故障又は誤動作によって結果として 人身事故 火災事故 社会的損害などを生じさせないような安全性を考慮した冗長設計 延焼対策設計 誤動作防止設計などの安全設計に十分ご留意ください 本資料ご利用に際しての留意事項 1. 本資料は お客様が用途に応じた適切なルネサステクノロジ製品をご購入いただくための参考資料であり

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

TC4017BP/BF

TC4017BP/BF 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

R1RW0416DI シリーズ

R1RW0416DI シリーズ お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

Warp demo station manual

Warp demo station manual 組み込み Linux 高速起動ソリューション "Warp!! iw-rainbow-g22d-sodimm 評価キット操作手順書 Version 1.0 Rev 日付. 1.0 2017/02/23 初版 変更内容 注意 - 本ソフトウェアおよびマニュアルの著作権は リネオソリューションズ株式会社にあります - 本ソフトウェアおよびマニュアルの一部または全部を無断で使用 複製することはできません -

More information

2STB240PP(AM-2S-G-005)_02

2STB240PP(AM-2S-G-005)_02 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (1 8) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0 7.0 0.3 S+0.3 0.3 CC+0.3 0.7 +75 45 +5 (1)S= 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX:

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX: Ver.1-5 Date 2014.11.11 レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 252-0231 神奈川県相模原市中央区相模原 6-2-18 TEL:042-769-7873 FAX:042-769-7874 目 次 1. 概要... 2 2. 特長... 2 3. 用途... 2 4. 仕様...

More information

S1C60N08/60R08データシート

S1C60N08/60R08データシート PF244-0 Micro MN SC60N08/60R08 4-bit Single Chip Microcomputer SC60N08.0 SC () SC60R08 SC60A08.0 SC, SC () SC60L08.5 SC () SC60A08/60R08 µ µ µ µ µ µ µ µ µ SC60A08/60R08 SC60R08 SC60N08/60R08 SC60N08/60A08/60R08

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

Microsoft Word - ADC1808Manual.doc

Microsoft Word - ADC1808Manual.doc < 編集日 :R1 2018.10.13> ADC1808 Analog to Digital Convertor with PCM1808 PCM1808 使用 AD 変換基板製作マニュアル < 注意 > 本キットをつかって生じた感電 火災等の一切のトラブルについては 当方は責任を負いませんのでご了承ください また 基板 回路図 マニュアル等の著作権は放棄していませんので その一部あるいは全体を無断で第

More information

CONTENTS 1. はじめに 参照 関連仕様書 FOMA USB コネクタ切り替え制御 端子条件による FOMA 端末状態の状態遷移 USB VBus(No.4)= USB VBUS voltage (5V) 以外 の場合の

CONTENTS 1. はじめに 参照 関連仕様書 FOMA USB コネクタ切り替え制御 端子条件による FOMA 端末状態の状態遷移 USB VBus(No.4)= USB VBUS voltage (5V) 以外 の場合の FOMA USB コネクタ制御を利用するための技術参考資料第 1.1 版 2008/12/3 株式会社エヌ テイ テイ ドコモ Copyright 2007-2008, NTT DOCOMO, INC. All Rights Reserved. CONTENTS 1. はじめに... 2 2. 参照 関連仕様書... 2 3. FOMA USB コネクタ切り替え制御... 3 3.1. 端子条件による

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

TC74HCT245AP/AF

TC74HCT245AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Traceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

TC74HC4060AP/AF

TC74HC4060AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4060AP/AF TC74HC4060AP, TC74HC4060AF 14-Stage Binary Counter/Oscillator TC74HC4060A は シリコンゲート CMOS 技術を用いた高速 CMOS 14 STAGE RIPPLE CARRY BINARY COUNTER/ OSCILLATOR です CMOS

More information

NJW V 単相 DC ブラシレスモータドライバ 概要 NJW4320 は 24Vファンモータ用の単相 DCブラシレスモータドライバICです PWMソフトスイッチング方式を採用し 高効率でモータ駆動時の静音化が実現できます ロック保護回路 過電流検出回路 サーマルシャットダウン (TSD

NJW V 単相 DC ブラシレスモータドライバ 概要 NJW4320 は 24Vファンモータ用の単相 DCブラシレスモータドライバICです PWMソフトスイッチング方式を採用し 高効率でモータ駆動時の静音化が実現できます ロック保護回路 過電流検出回路 サーマルシャットダウン (TSD 2V 単相 DC ブラシレスモータドライバ 概要 は 2Vファンモータ用の単相 DCブラシレスモータドライバICです PWMソフトスイッチング方式を採用し 高効率でモータ駆動時の静音化が実現できます ロック保護回路 過電流検出回路 サーマルシャットダウン (TSD) 回路を内蔵し 安全性を高めています 回転数コントロールは 外部からの PWM 入力信号に対応しています 外形 V 特長 電源電圧範囲

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP

著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP 取扱説明書 rev: 181026 著作権保護法の順守と免責 損害 保証の免責 :( 著作権保護法の順守 ) CSSCV503ZK-HDCP は HDCP 解除機ではありません HDMI -> 12G-SDI コンバーターです HDCP を解除する設定で出荷する場合は 弊社での保証はなくなります お客様全責任 弊社保証の免責 HDCP を解除して使用する場合は ユーザーの全責任に於いて 著作権保護法を順守して使用してください

More information

TC74HC4017AP/AF

TC74HC4017AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC4017AP,TC74HC4017AF Decade Counter/Divider TC74HC4017A は シリコンゲート CMOS 技術を用いた高速 10 進ジョンソンカウンタです CMOS の特長である低い消費電力で 等価な LSTTL に匹敵する高速動作を実現できます CK あるいは CE 入力に印加されたカウントパルスの数により

More information

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc

Microsoft Word - TY_WLAN_WBSBMVGXB-1_EVBManual_V1.3J_ doc ワイヤレス LAN & Bluetooth モジュール評価ボード ( for WYSBMVGX4 / WYSBMVGX4-I / WYSBMVGXB ) この評価ボードは 実験検証用であり 品質を保証するものではありません また 評価ボードに使用している回路や部品 ソフトウェアは最新の物ではないことがあります 1/16 注意 : このモジュールは 日本の輸出管理下にあるデバイスドライバが必要です お客様の国やアプリケーション

More information

TC7SZ125FU_J_

TC7SZ125FU_J_ CMOS デジタル集積回路 シリコンモノリシック 1. 機能 Bus Buffer with 3-State Output 2. 特長 (1) 動作温度が広い : T opr = -40125 ( 注 1) (2) 高出力電流 : ±24 ma ( ) ( CC = 3 ) (3) 超高速動作 : t pd = 2.6 ( 標準 ) ( CC = 5, C L = pf) (4) 動作電圧範囲 :

More information

R1RP0416DIシリーズデータシート

R1RP0416DIシリーズデータシート Wide Temperature Version 4M High Speed SRAM (256-kword 16-bit) データシート RJJ03C0097-0201 Rev.2.01 概要 R1RP0416DI シリーズは 256k ワード 16 ビット構成の 4M ビット高速スタティック RAM です CMOS(6 トランジスタメモリセル ) プロセス技術を採用し, 高密度, 高性能, 低消費電力を実現しました

More information

2STB240AA(AM-2S-H-006)_01

2STB240AA(AM-2S-H-006)_01 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (A1 A2) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0.0 0.3 S+0.3 0.3 CC+0.3 10 0. 20 + 4 +12 (1)S=12 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

AN41250A

AN41250A DATA SHEET 品種名 パッケージコード HQFP048-P-0707A 発行年月 : 2007 年 6 月 1 目 概要. 3 特長. 3 用途. 3 外形. 3 構造.... 3 ブロック図.... 4 端子説明... 5 絶対最大定格..... 7 動作電圧範囲. 7 次 2 光 Disk 用 7-ch Motor r 用 IC 概要 は Spindle Motor 駆動部に低雑音の Direct

More information

uPC258,4558 DS

uPC258,4558 DS お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17

RS-422/485 ボード取扱説明書 RS-422/485 ボード取扱説明書 Revision 0.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 2009 Core Staff Co.,Ltd. All Rights Reserved - 1 of 17 Revision.3 コアスタッフ株式会社技術部エンジニアリング課 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved - of 7 目次 はじめに 3. 概要 4 2. 主要緒言 5 3. 各種インターフェース機能説明 8 4. 外形寸法 4 Copyright 29 Core Staff Co.,Ltd. All Rights Reserved

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

STM32F405VG 搭載 CPU 基板の仕様 V /10/14 STMicroelectronics 社製の Cortex-M4 ARM CPU STM32F405VGT6 を搭載した CPU 基板です 目次 1. 概要 CPU 基板のブロック図 C

STM32F405VG 搭載 CPU 基板の仕様 V /10/14 STMicroelectronics 社製の Cortex-M4 ARM CPU STM32F405VGT6 を搭載した CPU 基板です 目次 1. 概要 CPU 基板のブロック図 C STM32F405VG 搭載 CPU 基板の仕様 V006 2017/10/14 STMicroelectronics 社製の Cortex-M4 ARM CPU STM32F405VGT6 を搭載した CPU 基板です 目次 1. 概要... 2 2. CPU 基板のブロック図... 2 3. CPU 基板の部品配置とコネクタ配置図... 3 4. CPU 基板の入出力信号ピン配置... 4 1)

More information

DF2B29FU_J_

DF2B29FU_J_ ESD 保護用ダイオード シリコンエピタキシャルプレーナ形 1. 用途 ESD 保護用 注意 : 本製品は ESD 保護用ダイオードであり, ESD 保護用以外の用途 ( 定電圧ダイオード用途を含むがこれに限らない ) には使用はできません 2. 特長 (1) AEC-Q101 適合 ( 注 1) 注 1: 詳細については弊社営業窓口へお問合せ下さい 3. 外観と回路構成図 1: Pin 1 2:

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

DF10G5M4N_J_

DF10G5M4N_J_ ESD 保護用ダイオード シリコンエピタキシャルプレーナ形 1. 用途 ESD 保護用 注意 : 本製品は ESD 保護用ダイオードであり, ESD 保護用以外の用途 ( 定電圧ダイオード用途を含むがこれに限らない ) には使用はできません 2. 外観と内部回路構成図 1 : I/O 1 2 : I/O 2 3 : GND 4 : I/O 3 5 : I/O 4 6 : NC 7 : NC 8 :

More information

HD74LV2GT34A

HD74LV2GT34A お客様各位 カタログ等資料中の旧社名の扱いについて 2010 年 4 月 1 日を以って NEC エレクトロニクス株式会社及び株式会社ルネサステクノロジが合併し 両社の全ての事業が当社に承継されております 従いまして 本資料中には旧社名での表記が残っておりますが 当社の資料として有効ですので ご理解の程宜しくお願い申し上げます ルネサスエレクトロニクスホームページ (http://www.renesas.com)

More information

PRECISION COMPACT DISC PLAYER DP-75V

PRECISION COMPACT DISC PLAYER DP-75V PRECISION COMPACT DISC PLAYER DP-75V Accuphase warranty is valid only in Japan. 7 6 8 9 10 1 2 3 5 4 11 13 14 15 12 16 = CD/PROC PLAY PROGRAM REPEAT ALLONE A B LEVEL khz INDEX TRACK EXT M S db PROCESSOR

More information

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で

本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報で FSA サンプルプログラムマニュアル - 姿勢検出 - Rev.1.0 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

MN101E60シリーズ

MN101E60シリーズ 8 ビットシングルチップマイクロコントローラ 概要 MN101E シリーズは MN101C シリーズのメモリ拡張版であり 多機能な周辺機能を複合した 8ビットのシングルチップマイクロコンピュータで カメラ VCR MD TV CD LD プリンタ 電話機 HA 機器 ページャ エアコン PPC ファックス 電子楽器等の機器に使用することができます 本 LSIは 機器組込み用マイクロコンピュータとして

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

Microsoft Word - XC6120_JTR doc

Microsoft Word - XC6120_JTR doc JTR0209-009 高精度超小型低消費電流タイプ電圧検出器 概要 XC6120 シリーズは CMOS プロセスとレーザートリミング技術を用いて 高精度 低消費電流を実現した電圧検出器です 消費電流が小さく高精度で精密携帯機器に適しています 超小型パッケージを使用しており 高密度実装に適しています 出力形態は CMOS 出力と N-ch オープンドレイン出力の 2 種類があります 用途 マイコンシステムのリセット

More information

GENERAL DESCRIPTION

GENERAL DESCRIPTION 1/3, 1/4 デューティ 16 出力 LCD ドライバ 発行日 : 2010 年 10 月 1 日 概要 は 1/3 1/4 デューティ切り替え機能付のダイナミック表示用 LCD ドライバで 1/4 デューティ選択時は最大 64 セグメントまで 1/3 デューティ選択時は最大 48 セグメントまでの LCD を直接駆動できます 特長 ロジック電源電圧 : 2.7~3.6V, 4.5~5.5V ドライバ電源電圧

More information

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o 小型スタンバイ機能付高精度正電圧レギュレータ 概要 NJU7241 シリーズは, 出力電圧精度 ±2% を実現したスタンバイ機能付の低消費電流正電圧レギュレータ IC で, 高精度基準電圧源, 誤差増幅器, 制御トランジスタ, 出力電圧設定用抵抗及び短絡保護回路等で構成されています 出力電圧は内部で固定されており, 下記バージョンがあります また, 小型パッケージに搭載され, 高出力でありながらリップル除去比が高く,

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information