スライド 1

Size: px
Start display at page:

Download "スライド 1"

Transcription

1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev A

2 コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D テスト機能 2

3 安全機能の概要 3

4 安全機能 目的 マイコンで自己診断することで 故障を検出して安全に停止すること FLASH RAM SFR フラッシュ メモリ CRC 演算機能 CRC 演算を行うことにより フラッシュ メモリのデータ誤りを検出する RAM パリティ エラー検出機能 RAM を読み出すとき パリティ エラーを検出する RAM ガード機能 CPU の暴走による RAM データの書き換えを防止する 安全規格 IEC60730 IEC61508 に対応 SFR ガード機能 CPU の暴走による SFR の書き換えを防止する CPU CLOCK ADC 不正メモリ アクセス検出機能 メモリが存在しない アクセスが制限されている領域への不正なアクセスを検出する 周波数検出機能 TAU を使用して 発振周波数を検出する A/D テスト機能 内部基準電圧を A/D 変換することにより A/D 変換の自己チェックができる 4

5 フラッシュ メモリ CRC 演算機能 5

6 CRC 演算機能 CRC 演算機能 ( 生成多項式 :CCITT の CRC-16 X 16 + X 12 + X ) 高速 CRC 演算 IEC60730 でフラッシュ メモリ内のデータ確認が義務付けられている 確認手段として CRC が推奨されている 目的 : フラッシュ メモリのコード領域をチェック条件 :RAM 上のプログラムによる HALT モードで動作クロック : メイン システム クロック演算 :MSB ファーストで演算 汎用 CRC 演算 IEC61508 では動作中の安全を保証しなければならない CPU 動作中にもデータ確認する手段が必要 目的 : フラッシュ メモリのチェック条件 :CPU 動作中クロック : メイン システム クロックまたはサブシステム クロック演算 :LSB ファーストで演算 6

7 高速 CRC 演算のフロー (1/4) 03FFBH 03FF7H CubeSuite+ で算出可能 CRC 演算期待値 コード 00000H 対象領域を 16K バイトにしたときの例 7

8 コードチェック対象領域 ( 高速 CRC 演算範囲 ) の設定 フラッシュ メモリ CRC 制御レジスタ (CRC0CTL) 高速 CRC 演算器の動作制御と演算範囲の設定を行う 8

9 フラッシュ メモリ CRC 演算機能のコード生成 9

10 高速 CRC 演算のフロー (2/4) FF000H 10 バイトの領域 RET 命令 HALT 命令 この領域を初期化し CPU がプリフェッチ動作で読み出した際のパリティ エラーによるリセットを防ぐ CRC 演算終了後にコード領域に戻るため RAM 上で停止するため 仮に FF000H 番地に HALT 命令を配置 10

11 高速 CRC 演算のフロー (3/4) FF000H 10 バイトの領域 RET 命令 HALT 命令 CRC 演算開始 仮に FF000H 番地に HALT 命令を配置 03FFBH CALL 命令 00000H 対象領域を 16K バイトにしたときの例 11

12 割り込みの禁止 割り込みマスク フラグ レジスタ (MK0L, MK0H, MK1L, MK1H, MK2L, MK2H, MK3L) 対応するマスカブル割り込み処理の許可 / 禁止を設定 12

13 演算動作の許可 フラッシュ メモリ CRC 制御レジスタ (CRC0CTL) 高速 CRC 演算器の動作制御と演算範囲の設定を行う 13

14 フラッシュ メモリ CRC 演算結果レジスタの初期化 フラッシュ メモリ CRC 演算結果レジスタ (PGCRCL) 高速 CRC 演算結果を格納するレジスタ 0x00 0x00 14

15 高速 CRC 演算のフロー (4/4) CRC 演算終了コード領域に戻る演算動作禁止演算結果取得 期待値と照合 15

16 演算動作の禁止 フラッシュ メモリ CRC 制御レジスタ (CRC0CTL) 高速 CRC 演算器の動作制御と演算範囲の設定を行う 16

17 フラッシュ メモリ CRC 演算結果レジスタの取得 フラッシュ メモリ CRC 演算結果レジスタ (PGCRCL) 高速 CRC 演算結果を格納するレジスタ 演算結果 ( 上位 8 バイト ) 演算結果 ( 下位 8 バイト ) 17

18 フラッシュ メモリ CRC 演算機能のコード生成 18

19 RAM パリティ エラー検出機能 19

20 RAM パリティ エラー検出 IEC60730 では RAM データ確認が義務付けられている 目的 :RAM データの確認条件 : 常時動作 : データ書き込み時にパリティの書き込みデータ読み出し時にパリティのチェック注意 : 未初期化領域を読み出すと必ずパリティ エラー RAM パリティ エラー制御レジスタ (RPECTL) パリティ エラーの発生確認ビットと, パリティ エラーによるリセット発生を制御 20

21 データ保護機能 21

22 データの保護 データの保護 RAM ガード機能 IEC61508 では動作中の安全を保証しなければならない 目的 :RAM に格納された重要なデータを保護効果 : 指定したメモリ空間への RAM 書き込みが無効になる SFR ガード機能 IEC61508 では動作中の安全を保証しなければならない 目的 : 重要な SFR が書き換わらないように保護効果 : ポート機能 割り込み機能 クロック制御機能 電圧検出回路 RAM パリティ エラー機能のレジスタへの書き込みが無効になる 不正メモリ アクセス機能 IEC60730 では CPU と割り込みの動作が正しいことを確認する必要がある 目的 : 不正メモリ アクセスを防ぐ効果 : 決められた不正アクセス検出空間をアクセスしたときにリセットが発生する 22

23 RAM ガード機能 不正メモリ アクセス検出制御レジスタ (IAWCTL) 不正メモリ アクセスの検出可否,RAM/SFR ガード機能を制御するレジスタ 23

24 SFR ガード機能 不正メモリ アクセス検出制御レジスタ (IAWCTL) 不正メモリ アクセスの検出可否,RAM/SFR ガード機能を制御するレジスタ 24

25 不正メモリ アクセス検出機能 不正メモリ アクセス検出制御レジスタ (IAWCTL) 不正メモリ アクセスの検出可否,RAM/SFR ガード機能を制御するレジスタ 有効に設定すると 無効に戻せない 不正メモリ アクセス検出を常に有効にする 25

26 不正メモリ アクセス検出機能 26

27 保護機能のコード生成 27

28 周辺機能を使用した安全機能 28

29 周波数検出 IEC60730 では発振周波数が正しいことを確認することが義務付けられている 周波数検出対象 TAU0 チャネル 5 を使用 計測パルス S/W で 2 つのクロックの比率関係の正しさを判定 29

30 A/D テスト機能 IEC60730 では A/D コンバータのテストが義務付けられている 30

31 A/D 変換対象の選択 A/D テスト レジスタ (ADTES) A/D 変換対象に A/D コンバータの + 側の基準電圧として選択可能な AVREFP,- 側の基準電圧として選択可能な AVREFM, アナログ入力チャネル (ANIxx) を選択するレジスタ - 側の基準電圧 + 側の基準電圧 内部基準電圧 31

32 内部基準電圧の選択 アナログ入力チャネル指定レジスタ (ADS) A/D テスト機能で内部基準電圧 (1.45 V) を測定するときは,A/D テスト レジスタ (ADTES) で A/D 変換対象に ANIxx を選択し,ADS レジスタで入力ソースに内部基準電圧出力を選択 32

33 END ルネサスエレクトロニクス株式会社

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 CMT コンペアマッチタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ CMT の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 CMT の概要 3 CMT の仕様 CMT ユニット 0 チャネル 16ビットタイマ CMT0 CMT1 ユニット 1 CMT2 CMT3

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

スライド 1

スライド 1 RX ファミリ用コンパイラスタートアップの紹介 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ スタートアップの概要 スタートアッププログラム例 外部メモリを利用する場合の設定 2 スタートアップの概要 3 処理の流れとファイル構成例 パワーオン リセット Fixed_Vectors ( 固定ベクタテーブル )

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 04-05 回 LEDを用いたI/O 制御担当 : 植村 実験の目的 本実験ではマイコンシステムを用いた信号の入出力の制御方法を理解することを目的とし, マイコンのアーキテクチャを理解 実装するとともに, アセンブラによるプログラミング技術の習得を行う. 回路の構成として,PIC16F84A を用いてスイッチを入力とする LED の点灯 / 消灯の出力操作を行う回路ならびにアセンブラプログラムを実装する.

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 RTC リアルタイムクロック ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ RTC の概要 プログラムサンプル プログラムサンプルのカスタマイズ 2 RTC の概要 3 RTC の仕様 32.768KHz メイン発振 サブ発振 CPG RTC システムクロック (ICLK) 周辺モジュールクロック

More information

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド

RH850の割り込み/例外実現方法 CC-RHアプリケーションガイド RH850の割り込み / 例外実現方法 CC-RH アプリケーションガイド R20UT3546JJ0101 2018.10.12 ソフトウェア開発統括部 ソフトウェア技術部ルネサスエレクトロニクス株式会社 アジェンダ 概要ページ 03 割り込み / 例外発生時に実行する関数の定義ページ 10 直接ベクタ方式のベクタの定義ページ 17 テーブル参照方式のベクタの定義ページ 25 その他 割り込み制御ページ

More information

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー

初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コー 初心者のための RL78 入門コース ( 第 3 回 : ポート出力例 2 とポート入力 ) 第 3 回の今回は, 前回作成したプログラムを RL78/G13 のハードウェアを用いて見直しをお こないます 今回の内容 8. コード生成を利用した実際のプログラム作成 ( その 2) P40 9. コード生成を利用したプログラム作成 ( ポート入力 ) P47 次回 ( 第 4 回 ) は, 以下の内容を予定しています

More information

M16C M16C/50 M16C/5M R5F35MD6JFB 128K + 24K 12K PLQP0100KB-A 100P6Q-A ( 1) R5F35MD6KFB PLQP0100KB-A 100P6Q-A ( 1) R5F35MDEJFB 256K + 24K 20K PLQP0100K

M16C M16C/50 M16C/5M R5F35MD6JFB 128K + 24K 12K PLQP0100KB-A 100P6Q-A ( 1) R5F35MD6KFB PLQP0100KB-A 100P6Q-A ( 1) R5F35MDEJFB 256K + 24K 20K PLQP0100K M16C M16C/50 M16C/5L R5F35L23JFE 96K + 24K 8K PLQP0080KB-A 80P6Q-A ( 1) R5F35L23KFE PLQP0080KB-A 80P6Q-A ( 1) R5F35L26JFE 128K + 24K 12K PLQP0080KB-A 80P6Q-A ( 1) R5F35L26KFE PLQP0080KB-A 80P6Q-A ( 1)

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

RL78/G13 制限事項について

RL78/G13 制限事項について 発行日 :2013 年 8 月 8 日 RENESAS TECHNICAL UPDATE 211-8668 神奈川県川崎市中原区下沼部 1753 ルネサスエレクトロニクス株式会社問合せ窓口 http://japan.renesas.com/contact/ E-mail: csc@renesas.com 製品分類 MPU & MCU 発行番号 TN-RL*-A009A/J ev 1 版 題名 RL78/G13

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

Microsoft Word - Ladder Tool 使çfl¨ã…žã…‰ã…¥ã‡¢ã…«ã…©ã…•ã…¼ã†ªã†Š_ docx

Microsoft Word - Ladder Tool 使çfl¨ã…žã…‰ã…¥ã‡¢ã…«ã…©ã…•ã…¼ã†ªã†Š_ docx 2018/11/05 第 1 版 Ladder Tool 使用マニュアル 1. はじめに LadderTool は ラダーからマイコンプログラムを作成する 連枝 を改良し作成された ラダープログラム作成ツールです 作成したプログラムは DIPPLC で動作するニーモニッ クで保存されます そのため 通常使用する場合は DIPPLC をご用意ください 2. 使い方 ソフトウェアのフォルダ内にある LadderTool

More information

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c

命令セットの構成例 a) 算術 演算命令 例 )ADD dest, source : dest dest + source SUB dest, source : dest dest - source AND dest, source : dest dest AND source SHR reg, c 第 11 回機械語とアーキテクチャ コンピュータは, 記号で組み立てられ, 記号で動く機械 : ソフトウェアソフトウェア としても理解されなければならない ソフトウェアの最も下位レベルのしくみが ( 命令セット ) アーキテクチャ である 講義では命令符号 ( 機械語 ) の構成と種類についてまとめる また, 機械語を効率良く実行するために採用されている技術について紹介する 機械語とアセンブリ言語

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンピュータアーキテクチャ 第 13 週 割込みアーキテクチャ 2013 年 12 月 18 日 金岡晃 授業計画 第 1 週 (9/25) 第 2 週 (10/2) 第 3 週 (10/9) 第 4 週 (10/16) 第 5 週 (10/23) 第 6 週 (10/30) 第 7 週 (11/6) 授業概要 2 進数表現 論理回路の復習 2 進演算 ( 数の表現 ) 演算アーキテクチャ ( 演算アルゴリズムと回路

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

RL78/F13, F14 割り込み要因判別方法

RL78/F13, F14 割り込み要因判別方法 アプリケーションノート RL78/F13, F14 R01AN3343JJ0100 Rev.1.00 対象デバイス (RL78/F13, F14) では 複数の割り込み要因を一つの割り込みベクタ テーブル アドレスに兼用しています ( 表 1-1 参照 ) 複数の割り込み要因を共に使用する場合 割り込み処理内でどちらの割り込みが発生したか または両方の割り込みが発生したかを判定する必要があります 本アプリケーションノートでは

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information

< 動作マトリクス > 停止 REQ 固定位置 REQ 往復 REQ 停止 () 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止 ( 固定位置 ) 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止パルス中 ( 停止パルス終了後 ) ( 停止パルス終了後動作 )

< 動作マトリクス > 停止 REQ 固定位置 REQ 往復 REQ 停止 () 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止 ( 固定位置 ) 現在位置と同じなら無視異なれば停止パルス中へ 停止パルス中へ 停止パルス中 ( 停止パルス終了後 ) ( 停止パルス終了後動作 ) ステッピングモータ (12 相励磁 ) 低速なステッピングモータ制御モジュールを紹介します. 用途としては, エアコンの風向制御をイメージしていただければよいと思います. ソフトウェア制御のため, ハードはドライバICのみでOKです. 1 < 仕様 > 指定位置への移動動作 ( 高速動作 ) 指定範囲内での往復動作( 低速動作 ) 動作開始時と動作停止時には一定時間の停止パルスを出力して,

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 マルチサイクルを用いた実現方式 ( 教科書 5. 節 ) マルチサイクル方式 () 2 つのデータパス実現方式 単一クロックサイクル : 命令を クロックサイクルで処理 マルチクロックサイクル : 命令を複数クロックサイクルで処理 単一クロックサイクル方式は処理効率が悪い. CLK 処理時間 命令命令命令命令命令 時間のかかる命令にクロック サイクル時間をあわさなければならない. 余り時間の発生 クロック

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

統合開発環境CubeSuite+ V へのバージョンアップのお知らせ

統合開発環境CubeSuite+ V へのバージョンアップのお知らせ ツールニュース RENESAS TOOL NEWS 2014 年 03 月 24 日 : 140324/tn1 統合開発環境 CubeSuite+ V2.02.00 への バージョンアップのお知らせ 統合開発環境 CubeSuite+ を V2.01.00 から V2.02.00 へバージョンアップしました 1. アップデート対象バージョン CubeSuite+ 共通部分 V1.00.00~V1.03.00

More information

CS+ V 統合開発環境 ユーザーズマニュアル RL78 デバッグ・ツール編

CS+ V 統合開発環境 ユーザーズマニュアル RL78 デバッグ・ツール編 User s Manual 統合開発環境ユーザーズマニュアル RL78 デバッグ ツール編対象デバイス RL78ファミリ 本資料に記載の全ての情報は発行時点のものであり ルネサスエレクトロニクスは 予告なしに 本資料に記載した製品または仕様を変更することがあります ルネサスエレクトロニクスのホームページなどにより公開される最新情報をご確認ください www.renesas.com Rev.1.00 2016.03

More information

Microsoft Word - Cubesuite+_V850_AM.doc

Microsoft Word - Cubesuite+_V850_AM.doc TK-850 シリーズ CubeSuite+ 対応追加マニュアル テセラ テクノロジー株式会社 Rev :1.0 2011/7/12-1 - 目次 1 本書の概要...3 2 PC 動作環境の説明...4 3 USB ドライバーダウンロードとインストール...6 4 CubeSuite+ プロジェクトへの変換... 11 5 設定の確認... 13 6 ビルド デバッガ起動... 14 7 V850

More information

Microsoft Word - FCTT_CS_Mod( )Jver1.doc

Microsoft Word - FCTT_CS_Mod( )Jver1.doc FCTT 通信仕様書 (Modbus RTU) 目 次 1. 通信仕様 2 2. 送受信プロトコル 2 3. -16 の計算方法 3 4. 通信手順フローチャート 4 5. FCTT 通信端子配列 4 6. Modbus プロトコル RTU モード 5 6.1 5 6.2 異常応答 5 6.3 計測値データ要求 6 6.4 機種情報要求 7 7. 通信モニタ機能 8 1 1. 通信仕様 項目 仕様

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

Microsoft PowerPoint - OS07.pptx

Microsoft PowerPoint - OS07.pptx この資料は 情報工学レクチャーシリーズ松尾啓志著 ( 森北出版株式会社 ) を用いて授業を行うために 名古屋工業大学松尾啓志 津邑公暁が作成しました 主記憶管理 主記憶管理基礎 パワーポイント 27 で最終版として保存しているため 変更はできませんが 授業でお使いなる場合は松尾 (matsuo@nitech.ac.jp) まで連絡いただければ 編集可能なバージョンをお渡しする事も可能です 復習 OS

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 MTU2 マルチファンクションタイマパルスユニット 2 ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ MTU2 の概要 プログラムサンプル (1) インプットキャプチャ機能 プログラムサンプル (2) PWM モード プログラムサンプル (3) 相補 PWM モード プログラムサンプルのカスタマイズ

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

Slide 1

Slide 1 MSP430 : 革新的な新技術 この資料は 次世代マイコン活用セミナー (2012) から FRAM 部分を抜粋したものです Ferroelectric RAM (FRAM) 1 FRAM 次世代 MCU メモリ 不揮発性 電源が切れてもデータを保持 EEPROM の代替え品として利用可能 高速書き込み / アップデート SRAM のようなパフォーマンスアクセスタイム : ~ 50ns/ バイト

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */

/* モジュールストップ解除 */ SYSTEM.MSTPCRA.BIT.MSTPA24 = 0; /* MSTPA24(S12ADA 制御部 ) クロック供給開始 */ SYSTEM.MSTPCRA.BIT.MSTPA17 = 0; /* MSTPA17(S12ADA0) クロック供給開始 */ /*=============================================================*/ /* インクルードファイル */ /*=============================================================*/ #include #include "../../common/iodefine.h"

More information

Microsoft PowerPoint - Sol7 [Compatibility Mode]

Microsoft PowerPoint - Sol7 [Compatibility Mode] ミニクイズ 4 E ハザード ( つ前の命令の結果を必要とする状況 ) が発生する条件を つ挙げよ. また それぞれの時に 制御線 ForwardA, ForwardB はどのように設定すれば良いか? ( 回答 ) E/.RegWrite= かつ E/.RegisterRd = ID/.RegisterRs この時,ForwardA = と制御すれば良い. E/.RegWrite= かつ E/.RegisterRd

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX63N グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX63N 1 / 53 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

WLAR-L11G-L/WLS-L11GS-L/WLS-L11GSU-L セットアップガイド

WLAR-L11G-L/WLS-L11GS-L/WLS-L11GSU-L セットアップガイド の特長や 動作環境を説明します プロバイダ契約について の特長 動作環境 各部の名称とはたらき 設定ユーティリティについて 第 章 はじめにお読みください 動作確認プロバイダや最新の情報は のホームページからご覧いただけます は次の通りです を使ってインターネットをご利用になるためには 以下の条件を満たしたプロバイダと契約してください ルータを使用して 複数台のパソコンをインターネットに接続できるプロバイダ

More information

(Microsoft Word - JE000250_\203\312TURTLE-RWModbusTCP\220\332\221\261\220\340\226\276\217\221\(4\224\305\).doc)

(Microsoft Word - JE000250_\203\312TURTLE-RWModbusTCP\220\332\221\261\220\340\226\276\217\221\(4\224\305\).doc) 東洋ワイヤレス計測システム µturtle-rw Modbus/TCP 接続説明書 4.0 版 2013 年 02 月 28 日 JE000250 はじめに このたびは 東洋ワイヤレス計測システムをお買い上げいただき まことにありがとうございます この µturtle-rw Modbus/TCP 接続説明書 は Modbus/TCP を用いて µturtle-rw へ接続し データや設定を読み書きする操作について説明しています

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 6. MIPS の命令と動作 演算 ロード ストア ( 教科書 6.3 節,6.4 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ レジスタ間の演算命令 (C 言語 ) c = a + b; ( 疑似的な MIPS アセンブリ言語 )

More information

PIC24F Family Reference Manual Section 9 WDT

PIC24F Family Reference Manual Section 9 WDT 第 9 章 (WDT) ハイライト 本章では次のトピックについて説明します 9.1 はじめに... 9-2 9.2 WDT の動作... 9-2 9.3 レジスタマップ... 9-5 9.4 設計の秘訣... 9-6 9.5 関連するアプリケーションノート... 9-7 9.6 改版履歴... 9-8 9 2007 Microchip Technology Inc. Advance Information

More information

Microsoft Word - Cubesuite+_78K0R.doc

Microsoft Word - Cubesuite+_78K0R.doc TK-78K0R シリーズ CubeSuite+ 対応追加マニュアル テセラ テクノロジー株式会社 Rev :1.0 2011/7/19-1 - 目次 1 本書の概要...3 2 PC 動作環境の説明...4 3 USB ドライバーダウンロードとインストール...6 4 CubeSuite+ プロジェクトへの変換... 11 5 設定の確認... 13 6 ビルド デバッガ起動... 15 7 78K0R

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

MW100 Modbusプロトコルによるデータ通信の設定について

MW100 Modbusプロトコルによるデータ通信の設定について Modbus プロトコルによるデータ通信の設定について 概要 設定の手順 DAQMASTER の Modbus 通信について 設定の手順を説明します このマニュアルでは イーサネットを使った Modbus 通信 (Modbus/TCP) で 2 台の を接続し データの送受信をするまでの手順を取り上げます なお Modbus クライアント機能を使うには 演算機能 (/M1 オプション ) が必要です

More information

< B8CDD8AB B83685D>

< B8CDD8AB B83685D> () 坂井 修一 東京大学大学院情報理工学系研究科電子情報学専攻東京大学工学部電子情報工学科 / 電気電子工学科 はじめに アウトオブオーダ処理 工学部講義 はじめに 本講義の目的 の基本を学ぶ 場所 火曜日 8:40-0:0 工学部 号館 4 ホームページ ( ダウンロード可能 ) url: http://www.mtl.t.u-tokyo.ac.jp/~sakai/hard/ 教科書 坂井修一

More information

目次 1 本書の概要 PC 動作環境の説明 ハードウェア ソフトウェア GUI デモンストレーションの動作確認 GUI デモンストレーションの概要 RL78/G13 Stick の接続

目次 1 本書の概要 PC 動作環境の説明 ハードウェア ソフトウェア GUI デモンストレーションの動作確認 GUI デモンストレーションの概要 RL78/G13 Stick の接続 RL78/G13 Stick スターターキットユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :1.0 2011/7/5-1 - 目次 1 本書の概要... 4 2 PC 動作環境の説明... 5 2.1 ハードウェア... 5 2.2 ソフトウェア... 5 3 GUI デモンストレーションの動作確認... 6 3.1 GUI デモンストレーションの概要... 6 3.2 RL78/G13

More information

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL

RL78/I1D 中速オンチップ・オシレータでのUART 通信の実現 CC-RL アプリケーションノート RL78/I1D R01AN3096JJ0101 Rev.1.01 要旨 本アプリケーションノートでは RL78/I1D の中速オンチップ オシレータを利用した UART 通信方法を説明します UART 通信で求められる周波数精度を有する高速オンチップ オシレータを用いて 中速オンチップ オシレータの発振周期を定期的に測定します その測定結果に基づいて UART 通信のボーレートを補正することで

More information

パラメータ表 (VEA TYPE) 設定者 System 270 件名 PWM Mode 2(1/100Hz) 日時 エンコータ なし エンコータ 有り コート No. 内 容 設定範囲 初期設定 設定値 (1) 設定値 (2) 0 1stエンコーダパルス設定 [PLS] 0~

パラメータ表 (VEA TYPE) 設定者 System 270 件名 PWM Mode 2(1/100Hz) 日時 エンコータ なし エンコータ 有り コート No. 内 容 設定範囲 初期設定 設定値 (1) 設定値 (2) 0 1stエンコーダパルス設定 [PLS] 0~ 1. システムパラメータ一覧 パラメータ表 (VEA TYPE) 設定者 2002.09.24 System 270 件名 PWM Mode 0 or 3(1/100Hz) 日時 コート No. 内 容 設定範囲 初期設定 設定値 (1) 設定値 (2) 0 1stエンコーダパルス設定 [PLS] 0~99999999 1000 1 2ndエンコーダパルス設定 [PLS2] 0~99999999 1000

More information

も 常に 2 つのコイルに電圧を印加する 2 層励磁方式や 1 つのコイルと 2 つのコイルに交互に電圧を印 2

も 常に 2 つのコイルに電圧を印加する 2 層励磁方式や 1 つのコイルと 2 つのコイルに交互に電圧を印 2 コンピュータ工学講義プリント (2 月 5 日 ) 今回は パルスモータ ( ステッピングモータ ) の制御法を学ぶ パルスモータは 電圧のパルスを入力すると そのパルスの数に比例した角度だけ回転する性質を持っている そのため 回転角のセンサを用いることなく 回転角を制御用のマイコンが把握できる事となり マイコン制御に向いたモータといえる パルスモータの原理( 教科書 P.134 参照 ) パルスモータにはコイルに流れる電流の方向が変わるバイポーラ型と

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information

HDLトレーナーサンプルプログラム説明書

HDLトレーナーサンプルプログラム説明書 H8-BASE2 拡張キット説明書 June 10,2007 株式会社ソリトンウェーブ 目次 本製品の付属品について...3 本製品に付属するサンプルプログラムについて...4 サンプルソースの説明...5 1.TimerATest...5 2.LcdTest...5 3.AdcTest...5 4.AdcTest2...5 5.ComTest...5 6.PS2Test...6 7.FanTest...6

More information

AKI-PIC16F877A開発キット (Ver1

AKI-PIC16F877A開発キット (Ver1 STM32F101C8T6 STM32F103CxT6 マイコンキット仕様書 (Ver2012.05.11) この文書の情報は事前の通知なく変更されることがあります 本開発キットを使用したことによる 損害 損失については一切の責任を負いかねます 製造上の不良がございましたら 良品とお取替えいたします それ以外の責についてご容赦ください 変更履歴 Version Ver2012.05.08 新規 Ver2012.05.11

More information

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB)

また RLF 命令は 図 2 示す様に RRF 命令とは逆に 各ビットを一つずつ 左方向に回転 ( ローテイト ) する命令である 8 ビット変数のアドレスを A とし C フラグに 0 を代入してから RLF A,1 を実行すると 変数の内容が 左に 1 ビットシフトし 最下位ビット (LSB) コンピュータ工学講義プリント (12 月 11 日 ) 今回は ローテイト命令を用いて 前回よりも高度な LED の制御を行う 光が流れるプログラム 片道バージョン( 教科書 P.119 参照 ) 0.5 秒ごとに 教科書 P.119 の図 5.23 の様に LED の点灯パターンが変化するプログラムを作成する事を考える この様にすれば 光っている点が 徐々に右に動いているように見え 右端まで移動したら

More information

IrDA型赤外線タグ仕様

IrDA型赤外線タグ仕様 IrDA 型赤外線タグ仕様 Specification of IrDA infrared active tag 目次 (Table of Contents) はじめに...3 規定範囲...3 本書の位置付け...3 参照規定...3 用語定義...3 1. IrDA 型赤外線タグの物理層規定...4 1.1. 概要...4 1.2. バイトフォーマット...5 1.2.1. パルス幅許容値...5

More information

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer)

AN1526 RX開発環境の使用方法(CS+、Renesas Flash Programmer) RX 開発環境の使用方法 (CS+ Renesas Flash Programmer) 第 2 版 2018 年 03 月 13 日 1. 概要 1.1 概要 本アプリケーションノートでは RX シリーズで使用する開発環境についての解説を行います 解説を行う開発環境は以下の 3 つです 1.RX ファミリ用 C/C++ コンパイラパッケージ 2.Renesas Flash Programmer(RFP)

More information

スライド 1

スライド 1 8. ステッピングモータの制御を学ぼう 秋月電子通商 PIC ステッピングモータドライバキット ( 小型モータ付き ) を参照しました. 回路製作の詳細は第 0 章を参照してください. 1 2 第 0 章図 28 より完成写真 ( マイコン回路 + ステッピングモータ駆動回路 ) PIC マイコンによるステッピングモータの制御 PIC16F84 R 1 R 2 RB6 RB0 ステッピングモータ S

More information

MN101E60シリーズ

MN101E60シリーズ 8 ビットシングルチップマイクロコントローラ 概要 MN101E シリーズは MN101C シリーズのメモリ拡張版であり 多機能な周辺機能を複合した 8ビットのシングルチップマイクロコンピュータで カメラ VCR MD TV CD LD プリンタ 電話機 HA 機器 ページャ エアコン PPC ファックス 電子楽器等の機器に使用することができます 本 LSIは 機器組込み用マイクロコンピュータとして

More information

ETCB Manual

ETCB Manual ETCB Manual HARDWARE & PROGRAMMING MANUAL 目次 始めに ETCB 仕様 開発環境の構築 01 始めに 始めに 始めに 注意事項 免責事項 同梱品 02 始めに サポート 03 ETCB 仕様 ETCB 仕様 概要 仕様 項目サブ項目内容電源推奨入力電圧 6.6V~12V 最大入力電圧 16V 出力電圧内部 3.3V 外部 5.0V 最大出力電流値最大 2.2A

More information

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例

PLCシリアル通信 MODBUS通信 データ送信/受信プログラム例 MODBUS RTU 通信時の配線例 ( 例 )FPΣ と弊社製温調器 KT シリーズ通信します マスタとして使用する FPΣ の MODBUS マスタ機能を使用し スレーブの KT シリーズのデータを読み出し 書き込みを行います マスタ データ書き込み スレーブ データ読み出し RS485 FPΣ の通信カセットは COM3 カセット (FPG-COM3) もしくは COM4 カセット (FPG-COM4)

More information

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O

正転時とは反対に回転する これが逆転である 図 2(d) の様に 4 つのスイッチ全てが OFF の場合 DC モータには電流が流れず 停止する ただし 元々 DC モータが回転していた場合は 惰性でしばらく回転を続ける 図 2(e) の様に SW2 と SW4 を ON SW1 と SW3 を O コンピュータ工学講義プリント (1 月 29 日 ) 今回は TA7257P というモータ制御 IC を使って DC モータを制御する方法について学ぶ DC モータの仕組み DC モータは直流の電源を接続すると回転するモータである 回転数やトルク ( 回転させる力 ) は 電源電圧で調整でき 電源の極性を入れ替えると 逆回転するなどの特徴がある 図 1 に DC モータの仕組みを示す DC モータは

More information

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage

Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manage IMATION ENCRYPTION MANAGER PLUS ユーザーマニュアル Imation Encryption Manager Plus Imation Encryption Manager Plus ソフトウェアにより 対応 USB フラッシュドライブにパスワード保護に対応した総合セキュリティーを設定することができます Imation Encryption Manager Plus には

More information

DUSx200 シリーズコントローラ I2C インターフェース仕様書

DUSx200 シリーズコントローラ I2C インターフェース仕様書 DUSx200 シリーズコントローラ I2C インターフェース仕様書 目次 1. 変更履歴... 2 2. 適用... 3 3. ホストインターフェース... 3 3.1. 通信タイミング... 3 3.2. 制御信号... 3 3.3. 通信仕様... 4 3.4. プロトコル仕様... 4 4. レポート形式... 5 4.1. タッチ座標データ... 5 4.2 水レポート... 5 5. メンテナンスコマンド...

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 18 回ハザードとその解決法 2014 年 10 月 17 日 電気情報工学科 田島孝治 1 授業スケジュール ( 後期 ) 2 回 日付 タイトル 17 10/7 パイプライン処理 18 10/17 ハザードの解決法 19 10/21 並列処理 20 11/11 マルチプロセッサ 21 11/18 入出力装置の分類と特徴 22 11/25 割り込み 23 12/2 ネットワークアーキテクチャ

More information

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の

この方法では, 複数のアドレスが同じインデックスに対応づけられる可能性があるため, キャッシュラインのコピーと書き戻しが交互に起きる性のミスが発生する可能性がある. これを回避するために考案されたのが, 連想メモリアクセスができる形キャッシュである. この方式は, キャッシュに余裕がある限り主記憶の 計算機システム Ⅱ 演習問題学科学籍番号氏名 1. 以下の分の空白を埋めなさい. CPUは, 命令フェッチ (F), 命令デコード (D), 実行 (E), 計算結果の書き戻し (W), の異なるステージの処理を反復実行するが, ある命令の計算結果の書き戻しをするまで, 次の命令のフェッチをしない場合, ( 単位時間当たりに実行できる命令数 ) が低くなる. これを解決するために考案されたのがパイプライン処理である.

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

出 アーキテクチャ 誰が 出 装置を制御するのか 1

出 アーキテクチャ 誰が 出 装置を制御するのか 1 出 アーキテクチャ 誰が 出 装置を制御するのか 1 が 出 装置を制御する メモリ ( 主記憶 ) 命令データ 出 装置 2 が 出 装置を制御する 命令 実 入出力装置を制御する命令を実行する メモリ ( 主記憶 ) 命令データ 制御 出 装置 3 が 出 装置を制御する メモリ ( 主記憶 ) 命令 実 制御 命令データ データを出力せよ 出 装置 4 が 出 装置を制御する メモリ ( 主記憶

More information

EB-RL7023+SB/D2

EB-RL7023+SB/D2 RL7023 Stick/IPR ユーザーズ マニュアル テセラ テクノロジー株式会社 Rev :2.0 2014/9/30-1 - 目次 1 本書の概要... 3 2 PC 動作環境の説明... 4 3 USB ドライバのインストール... 4 3.1 RL7023 Stick の接続... 4 3.2 USB ドライバのインストール... 4 3.3 USB ドライバのダウンロード... 5 4

More information

統合開発環境CubeSuite+ V へのバージョンアップのお知らせ

統合開発環境CubeSuite+ V へのバージョンアップのお知らせ ツールニュース RENESAS TOOL NEWS 2013 年 04 月 16 日 : 130416/tn1 統合開発環境 CubeSuite+ V2.00.00 への バージョンアップのお知らせ 統合開発環境 CubeSuite+ を V1.03.00 から V2.00.00 へバージョンアップしました 1. アップデート対象バージョン CubeSuite+ 共通部分 V1.00.00~V1.03.00

More information

RL78ファミリ CubeSuite+ スタートアップ・ガイド編

RL78ファミリ CubeSuite+ スタートアップ・ガイド編 アプリケーションノート RL78 ファミリ R01AN1232JJ0100 Rev.1.00 要旨 この資料は,CubeSuite+ を用いた RL78 ファミリサンプルコードの活用方法, および RL78 ファミリの開発ツールの基本的な操作を, ユーザに理解していただくことを目的としています この資料を読みながら, 実際にツールを操作することにより, サンプルコードの活用方法や開発ツールの基本的な操作に対する理解を,

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

39733a.fm

39733a.fm 注意 : この日本語版文書は参考資料としてご利用ください 最新情報は必ずオリジナルの英語版をご参照願います セクション 45. 拡張データ空間 (EDS) を備えたデータメモリ ハイライト 本セクションには下記の主要項目を記載しています 45.1 はじめに... 45-2 45.2 データメモリの構成... 45-3 45.3 拡張データ空間... 45-7 45.4 データ配置... 45-14

More information

8051 개발보드 메뉴얼

8051 개발보드 메뉴얼 ㄴㄴㄴ標準 U-STYLE ボード (Model:DM-USTYLE V1.0 ) マニュアル 改訂日 : 2015 年 11 月 24 日 1. Arduino At Heartプロトタイプ標準 U STYLEボード (DM-USTYLE V1.0) のご紹介 アドゥイノウノブートローダが書き込んだATMEGA328P-PUを使用 Arduino At Heart( ) プロトタイプのボードの互換コネクタと

More information

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し

1. プログラム実行時の動作プログラムを実行すると以下のように動作します 1) NUCLEO-F401RE 上の LED LD2( 緑 ) が 200mSec 間隔で点滅します 2. プロジェクトの構成 2.1. プロジェクト F401N_BlinkLD2 の起動画面 TrueSTUDIO で作成し TrueSTUDIO 用 F401N_BlinkLD2 の説明 V003 2014/10/01 TIM11 の割り込みを使用して LED 点滅を行う NUCLEO-F401RE のプロジェクトサンプルです NUCLEO-F401RE は STMicroelectronics 社製の Cortex-M4 ARM CPU である STM32F401RET6 を搭載した基板です 試用版の開発ツール Atollic

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション マイコンプログラミング演習 I 第 02-03 回回路構築 /LEDを用いた出力制御担当 : 植村 導入 講義内容 本講義では携帯電話や携帯オーディオプレイヤー 各種ロボットなどの電子機器 情報機器に用いられるマイコン制御技術を 実習を通して理解する PIC: Peripheral Interface Controller 直訳 : 周辺装置インタフェースコントローラー マイクロコンピュータ CPUやメモリをワンチップ化した小型のコンピュータパソコンのような汎用性はないが低コスト

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

FAシステム機器総合カタログ2017

FAシステム機器総合カタログ2017 ログラミングニタデバッグ RUN 中書換えモード切換え編集/O情報 I/O 番号任意割付けPLC情報ログラマ情報モリカートリッジの他ブルコントローラ MC 対応 DL05/DL06//SU 対応 メモリカートリッジ装着可能 遠隔 PLC のプログラミング / モニタが可能 メニュー 文法エラー 自己診断の内容をメッセージ表示 -10 ~65 0 ~60 周囲湿度 相対湿度 20%~90%( 結露なきこと

More information

電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225

電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225 30181210 電磁流量計 WZ-C Modbus RTU 通信仕様書 ver.8.225 1. 概要本通信仕様書は 電磁流量計 WZ-C に適用します 通信の手順に限った説明書となっておりますので 通信以外の操作説明等に関しましては WZ-C に付属している取扱説明書をご覧ください 2. ご使用になる前に 2.1 接続流量計の取扱説明書をご参照のうえ お客様の上位通信機器と接続を行ってください

More information

アナログ・接点変換器

アナログ・接点変換器 LoRa/ 通信変換器 HLR-RS485 通信仕様書 (Modbus) インターフェース 2019 年 02 月 19 日 改訂履歴 日付改訂者改訂内容 2018/09/14 野村初版 2019/02/19 山下 改訂 1 P12 説明文修正 レジスタ割付修正 P13 キャリアセンス異常エラー追加 承認確認作成 ( 3 ) 目次 1 概要... 4 2 基本仕様... 4 3 通信モードについて...

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt

電子13-06 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00設定方法.ppt 技術資料 お客様用 1/13 発行 電子 13-06 発行月 2013 年 10 月 エネメータ専用ソフト SAVER CAST for EneMeter Ver3.00 設定方法 1 PC アプリ SAVER CAST for EneMeter Ver3.00 ダウンロード 2 通信設定アプリ Config Tool Ver1.00 ダウンロード 3 パソコンへのセットアップ 4 設定方法について説明します

More information

Microsoft PowerPoint - フェリカ通信仕様書_

Microsoft PowerPoint - フェリカ通信仕様書_ 1 / 25 2006/07/19 フェリカリーダ通信仕様書 Ver.00.12 松下電工株式会社 制御デバイス事業部 2 / 25 目次 1. 基本構成 3 2. 通信規則 4 3. パケットの構成 5 4. コマンド一覧 6 5. コマンドの詳細 ( ホスト R/Wユニット 7 #A... 動作状態確認 #B... リセット #C... 動作状態変更 #D... データ書き込み (1) #E...

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL

ブート領域、フラッシュ領域の分割方法 RL78ファミリ用Cコンパイラ CC-RL ブート領域 フラッシュ領域の分割方法 RL78 ファミリ用 C コンパイラ CC-RL 2016 年 10 月 5 日 Rev.2.00 ソフトウエア事業部 ソフトウエア技術部 ルネサスシステムデザイン株式会社 R20UT3475JJ0200 アジェンダ はじめにページ 3 概要ページ 4 ブート領域 フラッシュ領域共通ページ 12 ブート領域ページ 19 フラッシュ領域ページ 38 デバッグツールページ

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information