7 シリーズ FPGA コンフィギャラブル ロジック ブロック ユーザー ガイド (UG474)

Size: px
Start display at page:

Download "7 シリーズ FPGA コンフィギャラブル ロジック ブロック ユーザー ガイド (UG474)"

Transcription

1 7 シリーズ FPGA コンフィギャラブルロジックブロック ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください

2 ISCLAIMER The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.to the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby ISCLAIMS ALL WARRANTIES AN CONITIONS, EXPRESS, IMPLIE, OR STATUTORY, INCLUING BUT NOT LIMITE TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.you may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.certain products are subject to the terms and conditions of Xilinx s limited warranty, please refer to Xilinx s Terms of Sale which can be viewed at IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx s Terms of Sale which can be viewed at Automotive Applications isclaimer XILINX PROUCTS ARE NOT ESIGNE OR INTENE TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATION REQUIRING FAIL-SAFE PERFORMAN, SUCH AS APPLICATIONS RELATE TO:(I) THE EPLOYMENT OF AIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS THERE IS A FAIL-SAFE OR REUNANCY FEATURE (WHICH OES NOT INCLUE USE OF SOFTWARE IN THE XILINX EVI TO IMPLEMENT THE REUNANCY) AN A WARNING SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COUL LEA TO EATH OR PERSONAL INJURY.CUSTOMER ASSUMES THE SOLE RISK AN LIABILITY OF ANY USE OF XILINX PROUCTS IN SUCH APPLICATIONS. Copyright Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.all other trademarks are the property of their respective owners. この資料に関するフィードバックおよびリンクなどの問題につきましては jpn_trans_feedback@xilinx.com まで または各ページの右下にある [ フィードバック送信 ] ボタンをクリックすると表示されるフォームからお知らせください いただきましたご意見を参考に早急に対応させていただきます なお このメールアドレスへのお問い合わせは受け付けておりません あらかじめご了承ください 改訂履歴 次の表に この文書の改訂履歴を示します 日付 バージョン 内容 2011 年 3 月 1 日 1.0 初版 2011 年 3 月 28 日 1.1 表 1-2 に XC7K355T XC7K420T および XC7K480T デバイスを追加 わかりやすくするために文章の一部を変更 2011 年 9 月 30 日 シリーズ CLB の特徴 の最後に文章を追加 表 1-2 および表 1-3 を追加 表 1-2 の CLB 機能を更新 CLB の配置 の最初に文章を追加 ASMBL アーキテクチャ セクションおよび CLB スライス の見出しを追加 キャリーロジック の最後に段落を追加 キャリーロジックの使用法 の最後に文章を追加 スライスマルチプレクサーのタイミングパラメーター に 2 つ目の文章を追加 わかりやすくするために 表 5-2 表 5-4 および表 5-5 を修正 スタックドシリコンインターコネクト (SSI) テクノロジを使用するデバイス セクションを追加 2012 年 1 月 30 日 1.3 表 1-1 を変更 分散 RAM (SLIM のみで使用可能 ) に 5 段落目を追加 わか りやすくするために グローバル制御信号 GSR および GTS の最後の段落を修正 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com

3 日付バージョン内容 2012 年 11 月 5 日 シリーズ CLB の特徴 の最後の箇条書きの 均一性 を 最適化 に変更 デバイスリソース の最初の文章で 均一性 を スケーラブル に変更 表 1-1 から 7A350T デバイスを削除 表 1-3 から 7V1500T および 7VH290T デバイスを削除 分散 RAM (SLIM のみで使用可能 ) シフトレジスタ (SLIM のみ ) フリップフロッププリミティブ に 7 シリーズ FPGA ライブラリガイド の参照先追加 図 5-2 で T O を T CK に変更 一般的なタイミング特性 の最初の箇条書きを変更 2013 年 8 月 日 1.5 Artix -7 デバイスを追加 インプリメンテーションツールの参照先を更新 2014 年 8 月 11 日 1. 表 1-1 ~ 表 1-3 の注記を変更 22 ページの 制御信号 の極性に関する記述を 独立した から プログラム可能 に変更 表 2-3 にプリミティブ列を追加して注記を削除 図 2- ~ 図 2-14 の名前を変更 ( マイナー修正 ) 52 ページの クロック W 53 ページの クロック および 54 ページの クロック - C を改訂 2014 年 11 月 17 日 1.7 表 1-1 に 新たに Artix 7A15T デバイスの記述を追加 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

4 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com

5 目次 改訂履歴 このユーザーガイドについて内容 その他のリソース 第 1 章 : 概要 CLB の概要 シリーズ CLB の特徴 デバイスリソース 推奨デザインフロー ピン配置の計画 第 2 章 : 機能の詳細 CLB の配置 スライスの説明 ルックアップテーブル (LUT) ストレージエレメント 分散 RAM (SLIM のみで使用可能 ) シフトレジスタ (SLIM のみ ) マルチプレクサー キャリーロジック 第 3 章 : デザイン入力 デザインのチェックリスト CLB リソースの使用法 プリミティブ 第 4 章 : アプリケーション 分散 RAM のアプリケーション シフトレジスタのアプリケーション キャリーロジックのアプリケーション 第 5 章 : タイミング CLB スライスの一般的なタイミングモデルとパラメーター CLB スライスのマルチプレクサーのタイミングモデルおよびパラメーター CLB スライスのキャリーチェーンのタイミングモデルおよびパラメーター CLB スライス分散 RAM のタイミングモデルおよびパラメーター (SLIM のみ ) CLB スライスの SRL シフトレジスタのタイミングモデルおよびパラメーター (SLIM のみ ) シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 5

6 第 章 : 高度な使用法 ラッチファンクションのロジックへの転用 インターコネクトリソース スタックドシリコンインターコネクト (SSI) テクノロジを使用するデバイス japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

7 このユーザーガイドについて ザイリンクス 7 シリーズ FPGA には 3 つの FPGA ファミリがあります これらはすべて最も低い消費電力を達成するよう設計されており 最適な電力 性能 コストの実現に向けて 標準デザインをファミリ間で拡張させることが可能です Artix -7 ファミリは 量産アプリケーション向けに開発され 最も低いコストと消費電力を実現するよう最適化されています Virtex -7 ファミリは 最高のシステム性能と容量を提供するように最適化されています Kintex -7 ファミリは 対コスト性能に最も優れた新しいクラスの FPGA です このユーザーガイドは 7 シリーズ FPGA のコンフィギャラブルロジックブロック (CLB) について説明した技術的なリファレンスです 通常 ロジック合成では システム設計者の介入なしに CLB リソースが割り当てられます ルックアップテーブル (LUT) の多様なファンクション キャリー伝搬の物理的方向 使用可能なフリップフロップの数および分布 および効率的なシフトレジスタの使用方法など 一部の CLB の詳細を理解することは効率的なデザインの作成に役立ちます このユーザーガイドでは これらの説明および CLB のその他のファンクションについて詳細に説明します この 7 シリーズ FPGA コンフィギャラブルロジックブロックユーザーガイド を含む 7 シリーズ FPGA に関するすべての資料は ザイリンクスのウェブサイト (japan.xilinx.com/7) から入手できます 内容 このユーザーガイドは 次の各章で構成されています 第 1 章 概要 では ほとんどのユーザーが必要とする 次のような基本情報を提供します CLB の概要 は 新規ユーザーを対象とした情報です 7 シリーズ CLB の特徴 では 経験豊富なユーザー向けに Spartan - や Virtex - FPGA ファミリから新しくなった点を紹介し デザイン移行時の検討事項を解説します デバイスリソース では デバイスあたりのリソース数や さまざまな 7 シリーズファミリ間に共通する項目を示します 推奨デザインフロー には CLB リソースの基本的な使用法と重要な検討課題が列挙されています ピン配置の計画 では CLB がデザインのピン配置に影響を及ぼす可能性がある側面について検討します 第 2 章 機能の詳細 では 各 CLB ファンクションの具体的なアーキテクチャを示します 第 3 章 デザイン入力 では デザイン入力のガイドラインと インスタンシエーション用のプリミティブを紹介します 第 4 章 アプリケーション では より大規模なアプリケーションに対する CLB リソースの適用例を示します 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 7

8 このユーザーガイドについて 第 5 章 タイミング では タイミングモデルと記載されている CLB タイミング仕様の定義を説明します 第 章 高度な使用法 では 7 シリーズ CLB の高度な機能について説明します その他のリソース その他の資料は ザイリンクスのウェブサイトから入手できます japan.xilinx.com/support/documentation/index シリコンやソフトウェア IP に関するアンサーデータベースを検索したり テクニカルサポートのウェブケースを開く場合は 次のウェブサイトにアクセスしてください japan.xilinx.com/support 8 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

9 第 1 章 概要 CLB の概要 7 シリーズコンフィギャラブルロジックブロック (CLB) は 次に示す高機能かつ高性能の FPGA ロジックを提供します 完全な 入力ルックアップテーブル (LUT) テクノロジ デュアル LUT5 (5 入力 LUT) オプション 分散メモリおよびシフトレジスタロジックファンクション 高速演算専用のキャリーロジックファンクション 使用効率を向上させる多入力マルチプレクサー CLB ( コンフィギャラブルロジックブロック ) は 順次回路および組み合わせ回路をインプリメントする際の主要なロジックリソースです 各 CLB エレメントはスイッチマトリックスに接続して 汎用配線マトリックスにアクセスします ( 図 1-1 参照 ) 1 つの CLB エレメントには 2 つのスライスがあります X-Ref Target - Figure 1-1 COUT COUT CLB Slice(1) Switch Matrix Slice(0) CIN CIN UG474_c1_01_ 図 1-1 : CLB 内のスライス配置 7 シリーズ FPGA の LUT は 出力が 1 つの 入力 LUT として または出力は別々でアドレスまたはロジック入力が共通の 2 つの 5 入力 LUT として構成できます 各 5 入力 LUT 出力はオプションとしてフリップフロップでラッチできます 入力 LUT が 4 つ それらのフリップフロップが 8 つ そして演算キャリーロジックによってスライスが構成され 2 つのスライスが CLB を構成します ( 各 LUT から 1 つずつの ) 4 つのフリップフロップはオプションで ラッチとして使用できます この場合 スライスに残った 4 つのフリップフロップは未使用にする必要があります 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 9

10 第 1 章 : 概要 全スライスの約 3 分の 2 は SLIL ロジックスライスで 残りが SLIM です SLIM の LUT は 4 ビットの分散 RAM として または 32 ビットのシフトレジスタ (SRL32) か 2 つの SRL1 として使用できます 最近の合成ツールでは このような高効率のロジック 演算 およびメモリ機能を活かした合成が実行されます さらに 経験の豊富な設計者はこれらをインスタンシエートすることもできます 7 シリーズ CLB の特徴 7 シリーズデバイスの CLB は Virtex - FPGA ファミリの CLB と同一です また Spartan - FPGA ファミリの CLB にも非常に類似していますが 次の点が異なります カラム状のアーキテクチャ 高集積度への容易なスケーリング より多くの CLB 間配線 SLIL および SLIM のみ (Spartan- FPGA の SLIX はない ) すべてのスライスでキャリーロジックをサポート より最適化 CLB 構造の共通の機能によって Spartan- および Virtex- ファミリから 7 シリーズデバイスへのデザイン移行が簡素化されます ただし独自のフロアプランを採用していることから 従来の FPGA をターゲットとしていたデザインをインプリメントする前は ロケーション制約を削除する必要があります インターコネクトの配線リソースが Virtex- FPGA ファミリと比較してサイズ 量 および柔軟性の面で優れているため 自動配置配線の結果が向上します デバイスリソース CLB リソースがすべての 7 シリーズファミリ間でスケーラブルであることから アーキテクチャが共通となり 効率 IP インプリメンテーション デザイン移行が改善されます 7 シリーズファミリ間の差異は CLB の数および CLB とその他のデバイスリソースの比率です 7 シリーズファミリ間の移行では CLB に対するデザイン変更は不要です デバイスの容量は 論理的に従来の 4 入力 LUT とフリップフロップ 1 つに相当するロジックセル単位で計測されます 7 シリーズ FPGA の CLB には 入力 LUT 豊富なフリップフロップとラッチ キャリーロジック そして SLIM 内に分散 RAM またはシフトレジスタを構築する機能が備わり 実質的な容量が増加しています ロジックセルと 入力 LUT の数の比率は 1.:1 です 7 シリーズ FPGA の CLB リソース 表 1-1 : Artix-7 FPGA の CLB リソース 表 1-1 ~ 表 1-3 に Artix -7 Kintex -7 および Virtex -7 FPGA で使用可能な CLB リソースを示します 最新情報は 7 シリーズ FPGA 概要 (S180) を参照してください デバイススライス (1) SLIL SLIM 入力 LUT 分散 RAM (Kb) シフトレジスタ (Kb) フリップフロップ 7A15T 2,00 (2) 1, , ,800 7A35T 5,200 (2) 3,00 1,00 20, ,00 7A50T 8,150 5,750 2,400 32, ,200 7A75T 11,800 (2) 8,232 3,58 47, , japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

11 デバイスリソース 表 1-1 : Artix-7 FPGA の CLB リソース ( 続き ) デバイススライス (1) SLIL SLIM 入力 LUT 分散 RAM (Kb) シフトレジスタ (Kb) フリップフロップ 7A100T 15,850 11,100 4,750 3,400 1, ,800 7A200T 33,50 22,100 11, ,00 2,888 1,444 29,200 注記 : 1. 7 シリーズ FPGA の各スライスには 4 つの LUT と 8 つのフリップフロップが含まれ SLIM でのみ LUT を分散 RAM または SRL として使用できます 2. デバイス内でサポートされる LUT とフリップフロップの数に対応するスライスの数です 表 1-2 : Kintex-7 FPGA の CLB リソース デバイススライス (1) SLIL SLIM 入力 LUT 分散 RAM (Kb) シフトレジスタ (Kb) フリップフロップ 7K70T 10,250,900 3,350 41, ,000 7K10T 25,350 1,00 8, ,400 2,188 1, ,800 7K325T 50,950 34,950 1, ,800 4,000 2, ,00 7K355T 55,50 35,300 20, ,00 5,088 2, ,200 7K410T 3,550 40,900 22,50 254,200 5,3 2, ,400 7K420T 5,150 (2) 41,400 23,750 20,00 5,938 2,99 521,200 7K480T 74,50 47,500 27, ,00,788 3, ,200 注記 : 1. 7 シリーズ FPGA の各スライスには 4 つの LUT と 8 つのフリップフロップが含まれ SLIM でのみ LUT を分散 RAM または SRL として使用できます 2. デバイス内でサポートされる LUT とフリップフロップの数に対応するスライスの数です 表 1-3 : Virtex-7 FPGA の CLB リソース デバイススライス (1) SLIL SLIM 入力 LUT 分散 RAM (Kb) シフトレジスタ (Kb) フリップフロップ 7V585T 91,050 3,300 27,750 34,200,938 3,49 728,400 7V2000T 305, ,200 8,200 1,221,00 21,550 10,775 2,443,200 7VX330T 51,000 33,450 17, ,000 4,388 2, ,000 7VX415T 4,400 38,300 2, ,00,525 3,23 515,200 7VX485T 75,900 43,200 32, ,00 8,175 4,088 07,200 7VX550T 8,00 (2) 51,700 34,900 34,400 8,725 4,33 92,800 7VX90T 108,300 4,750 43, ,200 10,888 5,444 8,400 7VX980T 153,000 97,50 55,350 12,000 13,838,919 1,224,000 7VX1140T 178, ,200 70, ,000 17,700 8,850 1,424,000 7VH580T 90,700 55,300 35,400 32,800 8,850 4, ,00 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 11

12 第 1 章 : 概要 表 1-3 : Virtex-7 FPGA の CLB リソース ( 続き ) デバイススライス (1) SLIL SLIM 入力 LUT 分散 RAM (Kb) シフトレジスタ (Kb) フリップフロップ 7VH870T 13,900 83,750 53, ,00 13,275,38 1,095,200 注記 : 1. 7 シリーズ FPGA の各スライスには 4 つの LUT と 8 つのフリップフロップが含まれ SLIM でのみ LUT を分散 RAM または SRL として使用できます 2. デバイス内でサポートされる LUT とフリップフロップの数に対応するスライスの数です 推奨デザインフロー CLB リソースは汎用デザインロジック用に推論されるため インスタンシエーションは不要です HL デザインを適切に行うだけで十分です 次に 注意すべき点を示します CLB のフリップフロップにはセットまたはリセットのいずれか一方しかありません セットとリセットの両方は使用できません フリップフロップが豊富に用意されています 性能を向上させるためにパイプライン処理を検討してください 制御入力はスライスまたは CLB 間で共有されます 各デザインに必要な制御信号の数は可能な限り少なくします 制御入力には クロック クロックイネーブル セット / リセット 書き込みイネーブルなどがあります 入力 LUT を 32 ビットのシフトレジスタとして使用することで 高効率なインプリメンテーションが可能になります 必要な格納領域が小さな場合は 入力 LUT を 4x1 のメモリとして使用できます 専用キャリーロジックを使用すると演算機能を効果的にインプリメントできます 次に 推奨デザインフローの手順を示します 1. 推奨する手法 (HL IP など ) を用いてデザインをインプリメントします 2. 使用率レポートから リソースの利用状況を判断します 演算ロジック 分散 RAM SRL が適宜使用されていることを確認します 3. フリップフロップの使用法を検討します a. 性能向上を目的としたパイプライン処理の使用 b. 専用リソース ( ブロック RAM SP) 出力への専用フリップフロップの使用 c. シフトレジスタの SRL としての使用 ( セット / リセットの使用を避ける ) 4. セット / リセットの使用は最低限に抑えます ピン配置の計画 多くの場合 リソースの使用はデバイスのピン配置に影響を及ぼしますが CLB はデバイス全体に分散しているためピン配置に与える影響はわずかです ASMBL アーキテクチャは ほとんどの I/O の両側にある CLB に最大限の柔軟性を提供します FPGA の要件に基づいてツールに I/O 配置を決定させることが最良の方法です その結果に対して ボードレイアウトの検討時に必要な変更を加えます ただし ツールがデザイン要件に基づいて最適の位置に I/O を配置できるようにタイミング制約を設定する必要があります 12 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

13 ピン配置の計画 キャリーロジックはカラムに沿って垂直上方向にカスケード接続するため 多入力演算バスは I/O を含むほかのロジックと直交する方向で駆動する可能性があります ほとんどの 7 シリーズデバイスは ASMBL アーキテクチャの分散 I/O の効果を最大限に活かすことができるフリップチップパッケージで提供されます 一方 より小型のデバイスは低コストのワイヤボンディングパッケージで提供されます これらの小型パッケージでは 一部のピンが特性上ほかのピンに比べて I/O や特別なリソースに近接しているため 内部ロジックの定義が完了してからピン配置を決定する必要があります 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 13

14 第 1 章 : 概要 14 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

15 第 2 章 機能の詳細 この章では 7 シリーズ FPGA の CLB アーキテクチャについて詳しく解説します これらはデザインの最適化や検証に役立ちますが デザインの開始に必要となるものではありません この章では 次の項目について説明します CLB の配置 CLB 内のスライスの配置と機能に関する概要 スライスの説明 SLIM および SLIL の全詳細 ルックアップテーブル (LUT) ロジックファンクションジェネレーターの説明 ストレージエレメント ラッチおよびフリップフロップの内容および制御方法 分散 RAM (SLIM のみで使用可能 ) LUT を書き込み可能なメモリとして使用する SLIM の機能 シフトレジスタ (SLIM のみ ) LUT をシフトレジスタとして使用する SLIM の機能 マルチプレクサー LUT を組み合わせて多入力ファンクションを作成するための専用ゲート キャリーロジック 効率的に演算機能をインプリメントするための専用ゲートおよびカスケード接続 CLB の配置 7 シリーズ FPGA の CLB はカラムに配列されます 7 シリーズ FPGA は 第 4 世代となる 独自のカラムベースの ASMBL アーキテクチャを採用したデバイスです ASMBL アーキテクチャ ザイリンクスは さまざまなアプリケーション分野に最適となるように各種機能を組み合わせて構成した FPGA プラットフォームを可能にするため ASMBL (Advanced Silicon Modular Block) アーキテクチャを開発しました この革新的な技術により ザイリンクスは幅広い品揃えでデバイスを提供し ユーザーは特定のデザインに適したバランスの機能と性能を備えるデバイスを選択できます 図 2-1 に さまざまなタイプのカラムベースリソースから成る概略ブロック図を示します 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 15

16 第 2 章 : 機能の詳細 X-Ref Target - Figure 2-1 Column Based ASMBL Architecture Logic (SLIL) Logic (SLIM) SP Memory Feature Options Global Clock High-performance I/O High-range I/O Integrated IP Clock Management Tile Mixed Signal Transceivers omain A omain B omain C Applications Applications Applications UG474_c2_24_ ASMBL アーキテクチャは次の方法で従来の設計における限界を取り除きます I/O 数によるアレイサイズの制限など 幾何学的配置の制約を削除 電源およびグランドをチップのあらゆる場所に配置させることで これらの分配を強化 異種の統合 IP ブロックを互いに かつ周囲のリソースから独立して拡張可能 SSI テクノロジ CLB スライス 図 2-1 : ASMBL アーキテクチャ 7 シリーズデバイスは 独自のスタックドシリコンインターコネクト (SSI) テクノロジを用いることでさらに高度な統合を実現します SSI テクノロジによって 1 つのパッシブインターポーザー層上で複数の SLR (Super Logic Region) を組み合わせることが可能になり 1 万を超える内部 SLR 接続を持つ FPGA を作成できるようになります 詳細は 第 章 高度な使用法 を参照してください CLB エレメントには 1 対のスライスが含まれ 各スライスは 4 つの 入力 LUT と 8 つのストレージエレメントから構成されます SLI(0) - CLB の下部 左のカラムに配置されたスライス SLI(1) - CLB の上部 右のカラムに配置されたスライス これら 2 つのスライスは 直接相互接続しておらず 各スライスは 1 つのカラムとして配置されています カラム内のそれぞれのスライスには 1 本の独立したキャリーチェーンがあります 1 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

17 CLB の配置 ザイリンクスツールでは スライスは次のように定義されています X の後に続く数字は 各ペアでのスライスの位置およびスライスのカラム位置を示します この番号は 最初の CLB カラムではスライスの下位から 0 1 と定義し 2 番目の CLB カラムの下位から 2 3 などのように定義します Y の後に続く数字は スライスの行を示します この番号は 同じ CLB 内では同一になり CLB の行間では下から上に位置するに従って順に大きくなります 図 2-2 に ダイの左下に配置された 4 つの CLB を示します X-Ref Target - Figure 2-2 COUT COUT COUT COUT CLB Slice1 X1Y1 CLB Slice1 X3Y1 Slice0 X0Y1 Slice0 X2Y1 CIN CIN CIN CIN CLB COUT Slice1 X1Y0 COUT CLB COUT Slice1 X3Y0 COUT Slice0 X0Y0 Slice0 X2Y0 UG474_c2_01_ 図 2-2 : CLB およびスライス間の行とカラムの関係 CLB およびスライスコンフィギュレーション 表 2-1 に 1 つの CLB に含まれるロジックリソースを示します SLIM の各 LUT はルックアップテーブル 分散 RAM またはシフトレジスタとして構成できます 表 2-1 : 1 つの CLB に含まれるロジックリソース スライス数 LUT フリップフロップ 演算およびキャリーチェーン 分散 RAM (1) シフトレジスタ (1) ビット 128 ビット 注記 : 1. SLIM にのみ該当します SLIL には分散 RAM またはシフトレジスタはありません 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 17

18 第 2 章 : 機能の詳細 スライスの説明 すべてのスライスには 次が含まれます 4 つのロジックファンクションジェネレーター ( またはルックアップテーブル ) 8 つのストレージエレメント 多入力機能マルチプレクサー キャリーロジック スライスでは これらのエレメントを使用してロジックファンクション 演算機能 および ROM 機能を提供します さらに 特定スライスは 2 つのファンクション ( 分散 RAM を使用したデータ格納および 32 ビットレジスタを使用したデータシフト ) をサポートします これらの追加ファンクションをサポートする特定スライスを SLIM その他のスライスを SLIL と呼びます SLIM のエレメントおよび接続を図 2-3 に SLIL のエレメントおよび接続を図 2-4 に示します 各 CLB には SLIL が 2 つ または SLIL と SLIM が各 1 つ含まれます 18 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

19 スライスの説明 X-Ref Target - Figure 2-3 COUT CK SRHI SRLO INIT1 Q INIT0 SR Reset Type Sync/Async FF/LAT X :1 I I2 A:A1 W:W1 O5 CK I1 N MC31 CK SRHI SRLO INIT1 Q INIT0 SR X CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q MUX Q CX C:1 CI I2 A:A1 W:W1 O5 CK I1 N MC31 CK SRHI SRLO INIT1 Q INIT0 SR C CX CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q CMUX C CQ BX B:1 BI I2 A:A1 W:W1 O5 CK I1 N MC31 CK SRHI SRLO INIT1 Q INIT0 SR B BX CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q BMUX B BQ AX A:1 AI SR I2 A:A1 W:W1 O5 CK I1 N MC31 0/1 CK N CIN A AX CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q AMUX A AQ UG474_c2_02_ 図 2-3 : SLIM の図 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 19

20 第 2 章 : 機能の詳細 X-Ref Target - Figure 2-4 X COUT CK SRHI SRLO INIT1 Q INIT0 SR Reset Type Sync/Async FF/LAT MUX :1 A:A1 O5 CK SRHI SRLO INIT1 Q INIT0 SR X CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q Q CX CMUX C:1 A:A1 O5 CK SRHI SRLO INIT1 Q INIT0 SR C CX CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q C CQ BX BMUX B:1 A:A1 O5 CK SRHI SRLO INIT1 Q INIT0 SR B BX CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q B BQ AX AMUX A:1 A:A1 O5 A AX CK FF/LAT INIT1 INIT0 SRHI SRLO SR Q A AQ SR 0/1 CIN UG474_c2_03_ 図 2-4 : SLIL の図 20 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

21 ルックアップテーブル (LUT) ルックアップテーブル (LUT) 7 シリーズ FPGA のファンクションジェネレーターは 入力のルックアップテーブル (LUT) としてインプリメントされています 各スライス (A B C および ) の 4 つのファンクションジェネレーターには つの独立した入力 (A 入力 : A1~A) と 2 つの独立した出力 (O5 および ) があります ファンクションジェネレーターは次をインプリメントできます 任意に定義された 入力のブール関数 任意に定義された 2 つの 5 入力のブール関数 ( これら 2 つが入力を共有している場合のみ ) 2 つの任意に定義された 3 および 2 入力以下のブール関数 入力のファンクションでは 次の入出力を使用します A1 ~ A 入力 出力 5 入力以下のファンクションが 2 つの場合は 次の入出力を使用します A1 ~ A5 入力 A は High に駆動 O5 および 出力 LUT を通る伝搬遅延は インプリメントされるファンクションとは無関係です ファンクションジェネレーターからの信号は 次が可能です スライスから出力 ( は A B C 出力から O5 は AMUX BMUX CMUX MUX 出力から ) 出力から XOR 専用ゲートに入力 O5 出力からキャリーロジックチェーンに入力 出力からキャリーロジックマルチプレクサーのセレクトラインに入力 ストレージエレメントの 入力 出力から F7AMUX/F7BMUX 多入力マルチプレクサーに入力 基本的な LUT のほかにも スライスには 3 つのマルチプレクサー (F7AMUX F7BMUX および F8MUX) が含まれています これらのマルチプレクサーを使用して 1 つのスライス内で最大 4 つのファンクションジェネレーターを組み合わせ 7 入力または 8 入力のファンクションを構成できます F7AMUX : LUT A および B から 7 入力ファンクションを生成するときに使用 F7BMUX : LUT C および から 7 入力ファンクションを生成するときに使用 F8MUX : すべての LUT を組み合わせて 8 入力ファンクションを生成するときに使用 複数のスライスを使用すると 9 入力以上のファンクションをインプリメントできますが スライス間には直接接続がないため CLB 内で 8 入力を超えるファンクションジェネレーターは作成できません ストレージエレメント スライスあたり 8 つのストレージエレメントがあります そのうち 4 つはエッジトリガーの タイプフリップフロップまたはレベル認識ラッチとしてコンフィギュレーションできます 入力は AFFMUX BFFMUX CFFMUX または FFMUX を通る LUT 出力で直接駆動するか あ 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 21

22 第 2 章 : 機能の詳細 るいは AX BX CX または X 入力からファンクションジェネレーターをバイパスする BYPASS スライス入力で直接駆動できます ラッチとしてコンフィギュレーションする場合 ラッチは が Low のときに透過になります 上記の 4 つ以外に エッジトリガーの タイプフリップフロップとしてのみコンフィギュレーション可能なストレージエレメントが 4 つあります 入力は LUT の O5 出力または AX BX CX あるいは X 入力を介した BYPASS スライス入力によって駆動可能です 従来の 4 つのストレージエレメントがラッチとしてコンフィギュレーションされる場合 これらのストレージエレメントは使用できません 図 2-5 に スライスでレジスタのみを使用したコンフィギュレーションおよびレジスタとラッチの両方を使用したコンフィギュレーションを示します X-Ref Target - Figure 2-5 X LUT O5 Output CK FF INIT1 Q INIT0 SRHIGH SRLOW SR Q X LUT Output FF/LATCH CK FF LATCH INIT1 Q INIT0 SRHIGH SRLOW SR Q CX LUT C O5 Output CK CFF INIT1 Q INIT0 SRHIGH SRLOW SR CQ CX LUT C Output CFF/LATCH CK FF LATCH INIT1 Q INIT0 SRHIGH SRLOW SR CQ SR BX LUT B O5 Output CK BFF INIT1 INIT0 Q SRHIGH SRLOW SR Reset Type Sync Async BQ SR BX LUT B Output BFF/LATCH CK FF LATCH INIT1 Q INIT0 SRHIGH SRLOW SR Reset Type Sync Async BQ AX LUT A O5 Output CK AFF INIT1 INIT0 Q SRHIGH SRLOW SR AQ AX LUT A Output AFF/LATCH CK FF LATCH INIT1 Q INIT0 SRHIGH SRLOW SR AQ 図 2-5 : スライス内の 2 つのコンフィギュレーション ( レジスタのみ 4 つ およびレジスタ / ラッチ 4 つ ) 制御信号 UG474_c2_04_ つのスライス内のストレージエレメント間では クロック () クロックイネーブル () およびセット / リセット (SR) 制御信号が共有されます このため スライス内の 1 つのフリップフロップで SR または がイネーブルのとき スライスで使用される残りのフリップフロップでも共有信号によって SR または がイネーブルになります 信号のみプログラム可能な極性が 22 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

23 分散 RAM (SLIM のみで使用可能 ) あります クロック信号上にあるインバーターは すべて自動で取り込まれます および SR 信号はアクティブ High です ストレージエレメントには次の初期化オプションがあります SRLOW : CLB SR 信号のアサートによる同期または非同期リセット SRHIGH : CLB SR 信号のアサートによる同期または非同期セット INIT0 : 電源投入またはグローバルセット / リセットによる非同期リセット (7 ページの グローバル制御信号 GSR および GTS 参照 ) INIT1 : 電源投入またはグローバルセット / リセットによる非同期セット SR 信号は ストレージエレメントを強制的に SRHIGH 属性または SRLOW 属性で指定されたステートにします SR がアサートされると SRHIGH ではストレージエレメントの出力ロジックレベルが強制的に High になり SRLOW では Low になります ( 表 2-2 参照 ) 表 2-2 : SRLOW および SRHIGH を使用したときの真理値表 SR SRVAL 機能 0 SRLOW ( デフォルト ) ロジックレベル変化なし 1 SRLOW ( デフォルト ) 0 0 SRHIGH ロジックレベル変化なし 1 SRHIGH 1 SRHIGH および SRLOW は スライス内の各ストレージエレメントで個々に設定できます 同期 (SYNC) または非同期 (ASYNC) のセット / リセット (SRTYPE) は 個別に設定できません コンフィギュレーション後の初期ステートまたはグローバル初期ステートは それぞれ INIT0 および INIT1 属性で定義されます デフォルトでは SRLOW 属性を指定すると INIT0 に SRHIGH 属性を指定すると INIT1 になります 7 シリーズデバイスでは SRHIGH および SRLOW に関係なく INIT0 および INIT1 を設定できます レジスタまたはラッチとしても機能する 4 つのストレージエレメントのセットおよびリセットのコンフィギュレーションオプションを次に示します セット / リセットなし 同期セット 同期リセット 非同期セット ( プリセット ) 非同期リセット ( クリア ) 分散 RAM (SLIM のみで使用可能 ) SLIM 内のファンクションジェネレーター (LUT) は 分散 RAM エレメントと呼ばれる同期 RAM リソースとしてインプリメントできます SLIM の複数の LUT をさまざまな方法で組み合わせることで 格納できるデータ容量を増やすことができます RAM エレメントは SLIM 内で次のインプリメントするように構成可能です シングルポート 32x1 ビット RAM デュアルポート 32x1 ビット RAM クワッドポート 32x2 ビット RAM シンプルデュアルポート 32x ビット RAM 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 23

24 第 2 章 : 機能の詳細 シングルポート 4x1 ビット RAM デュアルポート 4x1 ビット RAM クワッドポート 4x1 ビット RAM シンプルデュアルポート 4x3 ビット RAM シングルポート 128x1 ビット RAM デュアルポート 128x1 ビット RAM シングルポート 25x1 ビット RAM 分散 RAM モジュールは同期 ( 書き込み ) リソースです 同期読み出しは 同一スライス内のフリップフロップを使用してインプリメントできます このようにフリップフロップを使用する場合には フリップフロップの Clock-to-Out 遅延が低減されるため 分散 RAM の性能が向上します ただし クロックレイテンシが 1 サイクル分追加されます 分散エレメントでは同じクロック入力が共有されます 書き込みを実行する場合 SLIM の または ピンで駆動される書き込みイネーブル () 入力を High に駆動する必要があります 表 2-3 に 各分散 RAM コンフィギュレーションで使用する LUT ( 各スライスに 4 つ ) 数を示します 使用可能な分散 RAM プリミティブの詳細は Vivado esign Suite 7 シリーズ FPGA および Zynq-7000 All Programmable SoC ライブラリガイド (UG953) を参照してください 表 2-3 : 分散 RAM のコンフィギュレーション RAM 説明プリミティブ LUT 数 32 x 1S シングルポート RAM32X1S 1 32 x 1 デュアルポート RAM32X x 2Q クワッドポート RAM32M 4 32 x SP シンプルデュアル ポート RAM32M 4 4 x 1S シングルポート RAM4X1S 1 4 x 1 デュアルポート RAM4X1 2 4 x 1Q クワッドポート RAM4M 4 4 x 3SP シンプルデュアル ポート RAM4M x 1S シングルポート RAM128X1S x 1 デュアルポート RAM128X x 1S シングルポート RAM25X1S 4 分散 RAM のコンフィギュレーションには 次のようなポートがあります シングルポート 同期書き込みおよび非同期読み出し用に共通のアドレスポート - 読み出しおよび書き込みアドレスは同じアドレスバスを共有 デュアルポート 同期書き込みおよび非同期読み出し用に 1 ポート - 読み出しおよび書き込みのポートアドレスが共有されているファンクションジェネレーターが 1 つ接続される 24 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

25 分散 RAM (SLIM のみで使用可能 ) 非同期読み出し用に 1 ポート - 2 つ目のファンクションジェネレーターには 2 つ目の読み出し専用ポートアドレスに接続される A 入力および 1 つ目の読み出し / 書き込みポートアドレスと共有する WA 入力がある シンプルデュアルポート 同期書き込み用に 1 ポート ( 書き込みポートからのデータ出力 / 読み出しポートはない ) 非同期読み出し用に 1 ポート クワッドポート 同期書き込みおよび非同期読み出し用に 1 ポート 非同期読み出し用に 3 ポート 図 2-3 に示すとおり 共通書き込みポート W:W1 ( 次の図では WA[:1]) は常に [:1] を使用する LUT への入力によって物理的に駆動されます 読み出しポートは 4 つの LUT のそれぞれで独立しています したがって PRAM4 が LUT コンフィギュレーションに設定されていても LUT は常に有効なシングルポートとなります 読み出しおよび書き込みアドレスが互いに接続されている場合に SPRAM32 を選択できますが その他の 3 つの LUT は常に有効なデュアルポートとなります 図 2- から図 2-14 に 1 つの SLIM を使用してコンフィギュレーションする分散 RAM の例を示します x2 コンフィギュレーション ( 図 2- の 32x2 クワッドポート ) を使用する場合は A および WA をソフトウェアで High 駆動して O5 と を独立させます 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 25

26 第 2 章 : 機能の詳細 X-Ref Target - Figure 2- RAM32M I[1] I[0] AR[4:0] W (I) (AX/BX/CX/X) [5:1] 5 5 () () PRAM32 I1 I2 A[:1] WA[:1] O5 O[0] O[1] PRAM32 ARC[4:0] C[5:1] 5 5 I1 I2 A[:1] WA[:1] O5 OC[0] OC[1] ARB[4:0] B[5:1] 5 5 PRAM32 I1 I2 A[:1] WA[:1] O5 OB[0] OB[1] ARA[4:0] A[5:1] 5 5 PRAM32 I1 I2 A[:1] WA[:1] O5 OA[0] OA[1] UG474_c2_0_ 図 2- : 32x2 クワッドポートの分散 RAM (RAM32M) 2 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

27 分散 RAM (SLIM のみで使用可能 ) X-Ref Target - Figure 2-7 RAM32M unused unused WAR[5:1] WAR[] = 1 W [5:1] 5 5 () () PRAM32 I1 I2 A[:1] WA[:1] ATA[1] ATA[2] RAR[5:1] RAR[] = 1 C[5:1] 5 5 PRAM32 I1 I2 A[:1] WA[:1] O5 O[2] O[1] ATA[3] ATA[4] B[5:1] 5 5 PRAM32 I1 I2 A[:1] WA[:1] O5 O[4] O[3] ATA[5] ATA[] A[5:1] 5 5 PRAM32 I1 I2 A[:1] WA[:1] O5 O[] O[5] UG474_c2_0_ 図 2-7 : 32x シンプルデュアルポートの分散 RAM (RAM32M) 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 27

28 第 2 章 : 機能の詳細 X-Ref Target - Figure 2-8 RAM4X1S (I) I1 SPRAM4 O Output A[5:0] W ([:1]) () (/) A[:1] WA[:1] Q Registered Output (Optional) UG474_c2_07_ 図 2-8 : 4x1 シングルポートの分散 RAM (RAM4X1S) 4 つのシングルポート 4x1 ビットモジュールを図 2-8 に示すように構築する場合は 4 つの RAM4X1S プリミティブで SLIM を 1 つ使用できます ただし これらのプリミティブでは同じクロック 書き込みイネーブル 共有読み出しおよび書き込みポートアドレス入力を共有する必要があります このコンフィギュレーションは 4x4 ビットのシングルポート分散 RAM と同等です 2 つのデュアルポート 4x1 ビットモジュールを図 2-9 に示すように構築するには 2 つの RAM4X1 プリミティブで SLIM を 1 つ使用できます ただし これらのプリミティブでは同じクロック 書き込みイネーブル 共有読み出しおよび書き込みポートアドレス入力は共有する必要があります このコンフィギュレーションは 4x2 ビットのデュアルポート分散 RAM と同等です X-Ref Target - Figure 2-9 RAM4X1 (I) I1 PRAM4 SPO A[5:0] W ([:1]) () (/) A[:1] WA[:1] Q Registered Output (Optional) I1 PRAM4 PO PRA[5:0] (C[:1]) A[:1] WA[:1] Q Registered Output (Optional) UG474_c2_08_ 図 2-9 : 4x1 デュアルポートの分散 RAM (RAM4X1) 28 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

29 分散 RAM (SLIM のみで使用可能 ) X-Ref Target - Figure 2-10 RAM4M I (I) I1 PRAM4 O AR W ([:1]) () () A[:1] WA[:1] Q (Optional) Registered Output I1 PRAM4 OC ARC (C[:1]) A[:1] WA[:1] Q (Optional) Registered Output I1 PRAM4 OB ARB (B[:1]) A[:1] WA[:1] Q (Optional) Registered Output I1 PRAM4 OA ARA (A[:1]) A[:1] WA[:1] Q (Optional) Registered Output UG474_c2_09_ 図 2-10 : 4x1 クワッドポートの分散 RAM (RAM4M) 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 29

30 第 2 章 : 機能の詳細 X-Ref Target - Figure 2-11 RAM4M unused unused WAR[:1] W [:1] () () PRAM4 I1 I2 A[:1] WA[:1] ATA[1] RAR[:1] C[:1] PRAM4 I1 I2 A[:1] WA[:1] O5 O[1] ATA[2] B[:1] PRAM4 I1 I2 A[:1] WA[:1] O5 O[2] ATA[3] A[:1] PRAM4 I1 I2 A[:1] WA[:1] O5 O[3] UG474_c2_10_ 図 2-11 : 4x3 シンプルデュアルポートの分散 RAM (RAM4M) ワード数が 4 以上の分散 RAM コンフィギュレーションをインプリメントするには 多入力機能マルチプレクサー (F7AMUX F7BMUX および F8MUX) を図 2-12 ~ 図 2-14 に示すように使用する必要があります 2 つのシングルポート 128x1 ビットモジュールを図 2-12 に示すように構築するには 2 つの RAM128X1S プリミティブで SLIM を 1 つ使用できます ただし これらのプリミティブでは同じクロック 書き込みイネーブル 共有読み出しおよび書き込みポートアドレス入力を共有する必要があります このコンフィギュレーションは 128x2 ビットのシングルポート分散 RAM と同等です 30 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

31 分散 RAM (SLIM のみで使用可能 ) X-Ref Target - Figure 2-12 A (CX) RAM128X1S (I) I1 SPRAM4 A[:0] W [5:0] 7 () (/) A[:1] WA[7:1] 0 Output [5:0] 7 SPRAM4 I1 A[:1] WA[7:1] F7BMUX Q Registered Output (Optional) UG474_c2_11_ 図 2-12 : 128x1 シングルポートの分散 RAM (RAM128X1S) 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 31

32 第 2 章 : 機能の詳細 X-Ref Target - Figure 2-13 RAM128X1 A (CX) I I1 PRAM4 A[:0] W 7 () () A[:1] WA[7:1] SPO 7 PRAM4 I1 A[:1] WA[7:1] F7BMUX Q Registered Output (Optional) I1 PRAM4 PRA[:0] 7 A[:1] WA[7:1] PO AX 7 PRAM4 I1 A[:1] WA[7:1] F7AMUX Q Registered Output (Optional) UG474_c2_12_ 図 2-13 : 128x1 デュアルポートの分散 RAM (RAM128X1) 32 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

33 分散 RAM (SLIM のみで使用可能 ) X-Ref Target - Figure 2-14 RAM25X1S I1 SPRAM4 A[7:0] W 8 () (/) A[:1] WA[8:1] A (CX) I1 SPRAM4 F7BMUX 8 A[:1] WA[8:1] A7 (BX) O Output I1 SPRAM4 F8MUX Q Registered Output (Optional) 8 A[:1] WA[8:1] A (AX) I1 SPRAM4 F7AMUX 8 A[:1] WA[8:1] UG474_c2_13_ 図 2-14 : 25x1 シングルポートの分散 RAM (RAM25X1S) ここに示した例よりも大きな分散 RAM コンフィギュレーションが必要な場合は SLIM が 2 つ以上必要ですが CLB 内またはスライス間には直接接続がないため これ以上大規模な分散 RAM は構築できません 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 33

34 第 2 章 : 機能の詳細 分散 RAM のデータフロー 同期書き込み 同期書き込みは アクティブ High の書き込みイネーブル () を使用してシングルクロックエッジで実行されます が High のとき 入力 () がアドレス A のメモリ位置へ読み込まれます 非同期読み出し 出力は デュアルポートモードの SPO 出力のアドレス A またはデュアルポートモードの PO 出力のアドレス PRA で決定されます 新しいアドレスがアドレスピンに読み込まれると LUT にアクセスする時間分遅れて メモリ位置のデータ値が出力に現れます この動作はクロック信号とは関係なく非同期で実行されます 分散 RAM のまとめ 分散 RAM の特徴をまとめると次のようになります SLIM にはシングルポートとデュアルポートがある 書き込みには クロックエッジが 1 つ必要 読み出しは非同期で行われる (Q 出力 ) データ入力は Setup-to-Clock タイミング仕様に従う ROM ( 読み出し専用メモリ ) SLIM および SLIL の両スライスに含まれる各ファンクションジェネレーターは 4x1 ビット ROM をインプリメントでき ROM4X1 ROM128X1 および ROM25X1 の 3 つのコンフィギュレーションが可能です ROM の内容は各デバイスのコンフィギュレーション時に読み込まれます 表 2-4 に 各 ROM コンフィギュレーションで使用される LUT 数を示します 表 2-4 : ROM コンフィギュレーション ROM LUT 数 4 x x x 1 4 シフトレジスタ (SLIM のみ ) SLIM ファンクションジェネレーターは スライス内のフリップフロップを使用せずに 32 ビットシフトレジスタとしてもコンフィギュレーションできます シフトレジスタを使用すると 各 LUT でシリアルデータを 1 ~ 32 クロックサイクルだけ遅延させることができます シフトイン (I1 LUT ピン ) およびシフトアウト Q31 (MC31 LUT ピン ) ラインは LUT をカスケード接続してより大規模なシフトレジスタを構築します したがって 1 つの SLIM にある 4 つの LUT をカスケード接続すると 最大 128 クロックサイクルの遅延を生成できます 複数の SLIM のシフトレジスタを組み合わせることもできますが より長いシフトレジスタを構築できるスライス間の直接接続はありません また LUT B/C/ の MC31 出力も使用できません 生成されたプログラマブル遅延を使用して データパイプラインのタイミングのバランスを取ることが可能です 34 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

35 シフトレジスタ (SLIM のみ ) シフトレジスタには次のような用途が考えられます 遅延またはレイテンシの補正 同期 FIFO および CAM (Content Addressable Memory) シフトレジスタには次のような機能があります 書き込み クロック入力 () およびオプションのクロックイネーブル () と同期して実行される Q31 への固定読み出しアクセス ダイナミック読み出しアクセス 5 ビットのアドレスバス A[4:0] を使用して実行される - LUT アドレスの LSB は使用せず ソフトウェアによって自動的に High 駆動される アドレスを変更すると 32 ビットの任意のビットを非同期に読み出すことができる ( プリミティブ上では Q として参照される LUT の 出力から ) この機能は 32 ビット未満の小規模シフトレジスタを構成する際に役立つ - たとえば 13 ビットのシフトレジスタを構築する場合は アドレスを 13 番目のビットに設定する 同期読み出しのインプリメンテーションに ストレージエレメントまたはフリップフロップが使用できる - フリップフロップの Clock-to-Out が遅延全体を左右し 性能が向上する - ただし クロックレイテンシが 1 サイクル分だけ追加される シフトレジスタのセットまたはリセットはサポートされていない 図 2-15 に 32 ビットシフトレジスタのロジックブロック図を示します X-Ref Target - Figure 2-15 SHIFTIN (MC31 of Previous LUT) SRLC32E SHIFTIN () A[4:0] (AI) 5 (A[:2]) SRL32 I1 MC31 A[:2] SHIFTOUT (Q31) () (/) Q Output (Q) (AQ) Registered Output (Optional) UG474_c2_14_ 図 2-15 : 32 ビットシフトレジスタのコンフィギュレーション 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 35

36 第 2 章 : 機能の詳細 図 2-1 に ファンクションジェネレーターを 1 つ使用したシフトレジスタコンフィギュレーションの例を示します X-Ref Target - Figure 2-1 SHIFTIN () 32-bit Shift Register SHIFTOUT(Q31) Address (A[4:0]) 5 MUX Q UG474_c2_15_ 図 2-1 : シフトレジスタの表記 図 2-17 に 2 つの 1 ビットシフトレジスタを示します この例は 1 つの LUT にインプリメント可能です SRLC32E および SRL1E プリミティブの詳細は Vivado esign Suite 7 シリーズ FPGA および Zynq-7000 All Programmable SoC ライブラリガイド (UG953) を参照してください X-Ref Target - Figure 2-17 SHIFTIN1 (AI) I1 SRL1 O5 A[3:0] 4 A[5:2] SHIFTIN2 (AX) I2 SRL1 4 A[5:2] MC31 UG474_c2_1_ 図 2-17 : 2 つの 1 ビットシフトレジスタを使用したコンフィギュレーション 前述のとおり 出力 (MC31) を 1 つ追加してシフトレジスタ間に専用配線を使用すると LUT 出力を使用せずに そのレジスタの最終ビットを次のレジスタの最初のビットに接続できます より長いシフトレジスタは チェーン内の任意のビットに動的にアクセスして構築できます シフトレジスタのチェーン接続および F7AMUX F7BMUX F8MUX マルチプレクサーによって アドレス指定によるアクセスが可能な最大 128 ビットのシフトレジスタを 1 つの SLIM 内にインプリメントできます 図 2-18 から図 2-20 に SLIM 1 つを使用したシフトレジスタコンフィギュレーションのさまざまな例を示します 3 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

37 シフトレジスタ (SLIM のみ ) X-Ref Target - Figure 2-18 SRL32 SHIFTIN () I1 A[5:0] () (/) 5 A[:2] MC31 A5 (AX) Output (Q) I1 SRL32 F7AMUX Q (AQ) Registered Output (Optional) 5 A[:2] MC31 (MC31) SHIFTOUT (Q3) UG474_c2_17_ 図 2-18 : 4 ビットシフトレジスタのコンフィギュレーション X-Ref Target - Figure 2-19 CX (A5) SRL32 SHIFTIN () I1 A[:0] () (/) 5 A[:2] MC31 F7BMUX BX (A) (BMUX) Output (Q) F8MUX Q (BQ) Registered Output SRL32 (Optional) I1 5 A[:2] MC31 AX (A5) 5 I1 A[:2] SRL32 Not Used F7AMUX UG474_c2_18_ 図 2-19 : 9 ビットシフトレジスタのコンフィギュレーション 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 37

38 第 2 章 : 機能の詳細 X-Ref Target - Figure 2-20 SRL32 SHIFTIN () I1 A[:0] 5 () (/) A[:2] MC31 CX (A5) I1 SRL32 F7BMUX A[:2] I1 MC31 SRL32 BX (A) F8MUX Q (BMUX) (BQ) (Optional) Output (Q) Registered Output A[:2] MC31 AX (A5) I1 SRL32 F7AMUX A[:2] MC31 (MC31) SHIFTOUT (Q127) UG474_c2_19_ 図 2-20 : 128 ビットシフトレジスタのコンフィギュレーション 2 つ以上の SLIM を使用すると 128 ビットよりも長いシフトレジスタを構成できますが スライス間には直接接続がないため これより長いシフトレジスタは構築できません シフトレジスタのデータフローシフト動作 図 5-7 に シフト動作のタイミング図を示します シフト動作には 次のような特徴があります シングルクロックエッジで動作する アクティブ High のクロックイネーブルによって有効となる 入力 () はシフトレジスタの最初のビットに読み込まれる ほかのビットもそれぞれ次の高位ビットにシフトする 38 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

39 マルチプレクサー カスケード接続可能なシフトレジスタコンフィギュレーションでは 最後のビットが MC31 出力にシフトアウトされる 5 ビットのアドレスポート (A[4:0]) で選択されたビットは Q 出力に現れる ダイナミック読み出し ダイナミック読み出し動作には 次のような特徴があります Q 出力は 5 ビットのアドレスによって決定される 新しいアドレスが 5 ビットの入力アドレスピンに読み込まれると この新しいビット値が LUT にアクセスする時間分遅れて Q 出力に出力される この動作は非同期で クロック信号およびクロックイネーブル信号とは関係なく行われる スタティック読み出し スタティック読み出し動作には 次のような特徴があります 5 ビットアドレス入力が固定されている場合 Q 出力は常に同じアドレスの値になる このモードでは 1 つの LUT に 1 ~ 32 ビットのシフトレジスタをインプリメントする シフトレジスタ長は (N+1) となる (N は 0 ~ 31 の入力アドレス ) Q 出力は シフト操作と同期して変化する 前のビットが次の位置にシフトして Q に出力される シフトレジスタのまとめ シフトレジスタの特徴をまとめると次のようになります シフト操作にはクロックエッジ 1 つが必要 LUT の Q 出力に対する可変長モードの読み出しは非同期で実行される LUT の Q 出力に対する固定長モードの読み出しは同期で実行される データ入力は Setup-to-Clock タイミング仕様に従う カスケード接続可能なコンフィギュレーションでは 常に Q31 出力に最終のビット値が含まれる Q31 出力は各シフト動作後に同期して変化する マルチプレクサー 7 シリーズ FPGA のファンクションジェネレーターおよび関連するマルチプレクサーは 次をインプリメントできます LUT を 1 つ使用する 4:1 マルチプレクサー スライスあたり 4 つの 4:1 マルチプレクサー LUT を 2 つ使用する 8:1 マルチプレクサー スライスあたり 2 つの 8:1 マルチプレクサー LUT を 4 つ使用する 1:1 マルチプレクサー スライスあたり 1 つの 1:1 マルチプレクサー 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 39

40 第 2 章 : 機能の詳細 これらの多入力マルチプレクサーは 専用の F7AMUX F7BMUX および F8MUX マルチプレクサーを使用して 1 レベルのロジック ( または LUT) にインプリメントされます このようなマルチプレクサーは 1 つのスライスで最大 4 つの LUT を組み合わせることができます また 2 つの LUT を用いた最大 13 入力の汎用ファンクション または 4 つの LUT (1 スライス ) を用いた 27 入力の汎用ファンクションを構成する場合にも使用できます マルチプレクサーの出力は組み合わせ回路による値で CLB のストレージエレメントに格納できます 大規模マルチプレクサーのデザイン 4:1 マルチプレクサー すべての LUT は 4:1 マルチプレクサー (MUX) にコンフィギュレーションできます 4:1 MUX は 同じスライス内のフリップフロップを 1 つ使用してインプリメントでき 1 つのスライスには最大 4 つの 4:1 MUX をインプリメント可能です ( 図 2-21 参照 ) X-Ref Target - Figure 2-21 SLI LUT () 4:1 MUX Output SEL [1:0], ATA [3:0] Input ([:1]) A[:1] Q (Q) Registered Output LUT (Optional) (C) 4:1 MUX Output SEL C [1:0], ATA C [3:0] Input (C[:1]) A[:1] Q (CQ) Registered Output LUT (Optional) (B) 4:1 MUX Output SEL B [1:0], ATA B [3:0] Input (B[:1]) A[:1] Q (BQ) Registered Output LUT (Optional) (A) 4:1 MUX Output SEL A [1:0], ATA A [3:0] Input (A[:1]) A[:1] Q (AQ) Registered Output () (Optional) UG474_c2_20_ 図 2-21 : 1 つのスライスに 4 つの 4:1 マルチプレクサー 40 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

41 マルチプレクサー 8:1 マルチプレクサー 各スライスには F7AMUX と F7BMUX がそれぞれ 1 つずつあります これら 2 つの MUX では 2 つの LUT 出力を組み合わせて最大 13 入力の組み合わせファンクション ( または 8:1 MUX) を構築します 1 つのスライスには最大 2 つの 8:1 MUX をインプリメントできます ( 図 2-22 参照 ) X-Ref Target - Figure 2-22 SLI LUT SEL [1:0], ATA [3:0] Input (1) ([:1]) A[:1] F7BMUX LUT (CMUX) 8:1 MUX Output (1) SEL C [1:0], ATA C [3:0] Input (1) (C[:1]) A[:1] (CQ) Q (Optional) Registered Output SELF7(1) (CX) () LUT SEL B [1:0], ATA B [3:0] Input (2) (B[:1]) A[:1] F7AMUX LUT (AMUX) 8:1 MUX Output (2) SEL A [1:0], ATA A [3:0] Input (2) (A[:1]) A[:1] (AQ) Q (Optional) Registered Output SELF7(2) (AX) UG474_c2_21_ 図 2-22 : 1 つのスライスに 2 つの 8:1 マルチプレクサー 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 41

42 第 2 章 : 機能の詳細 1:1 マルチプレクサー 各スライスには F8MUX が 1 つあります この F8MUX は F7AMUX および F7BMUX の出力を組み合わせて 最大 27 入力 ( または 1:1 MUX) の組み合わせファンクションを構築します 1 つのスライスには 1:1 MUX を 1 つのみインプリメントできます ( 図 2-23 参照 ) X-Ref Target - Figure 2-23 LUT SLI SEL [1:0], ATA [3:0] Input ([:1]) A[:1] F7BMUX LUT SEL C [1:0], ATA C [3:0] Input (C[:1]) A[:1] F8MUX SELF7 (CX) LUT (BMUX) (B) Q 1:1 MUX Output Registered Output SEL B [1:0], ATA B [3:0] Input (B[:1]) A[:1] F7AMUX (Optional) LUT SEL A [1:0], ATA A [3:0] Input (A[:1]) A[:1] SELF7 SELF8 (AX) (BX) () UG474_c2_22_ 図 2-23 : 1 つのスライスに 1 つの 1:1 マルチプレクサー 複数の SLIM を使用すると 1:1 より大規模なマルチプレクサーを構築できますが スライス間には直接接続がないため これより長いマルチプレクサーは構築できません 42 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

43 キャリーロジック キャリーロジック ファンクションジェネレーターのほか 高速加算 / 減算を実行するために 専用の高速ルックアヘッドキャリーロジックが含まれています 図 1-1 に示すように 7 シリーズ FPGA の CLB には 2 つの独立したキャリーチェーンがあります このキャリーチェーンはカスケード接続が可能なため 図 2-2 のような 大規模な加算 / 減算ロジックを構築できます キャリーチェーンでは上方向に演算が実行され 各スライスの高さは 4 ビットです 各ビットに対してキャリーマルチプレクサー (MUXCY) と専用 XOR ゲートが 1 つずつあり 選択されたキャリービットを使用してオペランドを加算 / 減算します 専用キャリーパスおよびキャリーマルチプレクサー (MUXCY) を使用して ファンクションジェネレーターをカスケード接続し 多入力ロジックファンクションをインプリメントすることも可能です 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 43

44 第 2 章 : 機能の詳細 図 2-24 に 1 つのスライスの関連ロジックを使用したキャリーチェーンを示します X-Ref Target - Figure 2-24 COUT (To Next Slice) Carry Chain Block (CARRY4) From LUT S3 MUXCY CO3 MUX/Q* O5 From LUT X I3 O3 Q MUX Q (Optional) From LUTC S2 MUXCY CO2 CMUX/CQ* O5 From LUTC CX I2 O2 Q CMUX CQ (Optional) From LUTB S1 MUXCY CO1 BMUX/BQ* O5 From LUTB BX I1 O1 Q BMUX BQ (Optional) From LUTA S0 MUXCY CO0 AMUX/AQ* O5 From LUTA AX I0 O0 Q AMUX AQ CYINIT CIN (Optional) 0 1 CIN (From Previous Slice) * Can be used if unregistered/registered outputs are free. UG474_c2_23_ 図 2-24 : 高速キャリーロジックパスおよび関連するエレメント キャリーチェーンは ファンクションジェネレーターと共にキャリールックアヘッドロジックを使用します 次に示す 10 の独立した入力と 8 つの独立した出力があります 入力 S 入力 - S0 ~ S3 - キャリールックアヘッドロジックの伝搬信号 - ファンクションジェネレーターの 出力から入力される I 入力 - I1 ~ I4 - キャリールックアヘッドロジックの生成信号 44 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

45 キャリーロジック - ファンクションジェネレーターの O5 出力から入力される - 乗算器を構築する場合 - スライスの BYPASS 入力 (AX BX CX X) - 加算器 / アキュムレータを構築する場合 CYINIT - キャリーチェーンの最初のビットの CIN - 加算の場合 0 - 減算の場合 1 - 最初のキャリービットを動的に指定するための AX 入力 CIN - スライスをカスケード接続し より長いキャリーチェーンを構成する 出力 O 出力 - O0 ~ O3 - 加算 / 減算の合計出力 CO 出力 - CO0 ~ CO3 - 各ビットのキャリー出力の計算 - CO3 がスライスの COUT 出力に接続され 複数スライスをカスケード接続することによって より長いキャリーチェーンが構築される 加算器の伝搬遅延は カスケード接続されるキャリーチェーンの数が増えるほど オペランドのビット数と共に直線的に増加します キャリーチェーンは 同一スライス内のストレージエレメントまたはフリップフロップを使用してインプリメントできます キャリーロジックのカスケード接続は スライスカラムの高さにのみ制限されます スタックドシリコンインターコネクト (SSI) テクノロジを使用するデバイスの SLR (Super Logic Region) 間では キャリーロジックのカスケード接続はできません 第 章の スタックドシリコンインターコネクト (SSI) テクノロジを使用するデバイス を参照してください 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 45

46 第 2 章 : 機能の詳細 4 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

47 第 3 章 デザイン入力 CLB リソースは FPGA 固有の特別なコーディングを必要とせず FPGA 合成ツールによって自動的かつ効率的に適用されます ただし HL コーディングにおける推奨事項や手法が デザイン効率を最大限に引き出す最適化に役立つ場合があります デザインのチェックリスト 次のガイドラインは 7 シリーズ CLB を効果的に使用するためのデザイン上の推奨事項をまとめた簡単なチェックリストです リソース使用率 ジェネリック HL コードを使用し 合成およびマッピングのツールが特定の FPGA CLB リソースを選択できるようにします 特定リソースのインスタンシエーションは 集積度または性能の要件を満たすために必要な場合にのみ検討します 合成結果を予測スライス数と比較してデザインの効率を検証します ターゲットデバイスのデザインでリソースが不足した場合 どのリソースが制約要因になっているのかを確認し レジスタから SRL や分散 RAM 分散 RAM からブロック RAM キャリーロジックから SP スライスなどの代替リソースへの移行を検討します ほかのアーキテクチャからデザインを移行する場合は リソースインスタンシエーション マッピングおよびフロアプランの制約を削除します ( 7 シリーズ FPGA マイグレーションメソドロジガイド (UG429) 参照 ) FPGA に適した HL コーディング手法については japan.xilinx.com から入手できる XST ユーザーガイド (Virtex- Spartan- および 7 シリーズデバイス用 ) (UG87) を参照してください パイプライン処理 豊富なフリップフロップを活用して性能を高めるには シーケンシャルデザインの手法やパイプライン処理を使用してください 制御信号 制御信号は必要な場合にのみ使用します FPGA リソースを最大限に活用できるように 配線済みのグローバルリセット信号の使用を避け ローカルリセットの使用も最低限に抑えます アクティブ High の制御信号を使用します 1 つのフリップフロップでセットとリセットの両方を使用しないようにします フリップフロップの代わりに LUT を使用して使用率の最大化 消費電力の最小化を図るため 小さなシフトレジスタおよびストレージアレイに制御信号を使用しないようにします 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 47

48 第 3 章 : デザイン入力 ソフトウェアオプション 性能を自動的に向上させるために タイミング制約を使用し ソフトウェアオプションを用いてインプリメンテーション実行時間の増加とのトレードオフを調整します CLB リソースの使用法 プリミティブ ザイリンクスでは ツールが CLB リソースの使用を推論できるように ジェネリック HL コードの使用を推奨しています 7 シリーズ FPGA 向けに設計された IP ソリューションを使用すると CLB リソースを十分に活用できます LUT キャリーロジック シーケンシャルエレメントを含む CLB のすべての機能は直接インスタンシエートできます ただし インスタンシエーションは主に SP スライスなどの CLB 外のリソースを使用する場合の指定に使用してください 合成ツールによって多入力マルチプレクサー 分散 RAM SRL 機能などの目的の CLB リソースを推論できない場合には インスタンシエーションが必要となる可能性があります ここでは 最も頻繁に使用される CLB プリミティブの概要を説明します インスタンシエーションの例およびほかの CLB プリミティブに関する情報は Vivado esign Suite 7 シリーズ FPGA および Zynq-7000 All Programmable SoC ライブラリガイド (UG953) を参照してください マルチプレクサープリミティブ マルチプレクサーのプリミティブは 各スライスの専用マルチプレクサーを直接インスタンシエートすることで より多入力のマルチプレクサーを構築できるようにします 表 3-1 に 2 つのプリミティブの説明を示します 表 3-1 : マルチプレクサーのプリミティブ プリミティブ入力リソース出力 MUXF7 LUT 出力 (4:1 マルチプレクサー ) F7AMUX または F7BMUX 8:1 マルチプレクサー MUXF8 F7AMUX および F7BMUX の出力 (8:1 マルチプレクサー ) F8MUX 1:1 マルチプレクサー いずれのマルチプレクサープリミティブも同じポート信号を使用します 図 3-1 に MUXF7 を示します 48 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

49 プリミティブ X-Ref Target - Figure 3-1 MUXF7 I0 O I1 S UG474_c3_01_ 図 3-1 : MUXF7 プリミティブ ポート信号 データ入力 - I0 I1 データ入力には セレクト信号 (S) で選択されるデータが入力されます セレクト入力 - S セレクト入力信号は 出力 O へ送信されるデータ入力信号を決定します ロジック 0 では I0 入力が ロジック 1 では I1 入力が選択されます データ出力 - O データ出力 O には セレクト入力で選択されたデータ値 (1 ビット ) が出力されます キャリーチェーンプリミティブ CARRY4 プリミティブは 各スライスで使用可能な高速キャリーロジックをインスタンシエートします このプリミティブは LUT と接続して加算器および乗算器を構築します 図 3-2 に CARRY4 プリミティブを示します 合成ツールによって通常は 演算 HL コードからこのロジックが推論され そのファンクションが自動で適切に接続されます 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 49

50 第 3 章 : デザイン入力 X-Ref Target - Figure 3-2 CARRY4 I[3:0] O[3:0] S[3:0] CO[3:0] CYINIT CI UG474_c3_02_ 図 3-2 : CARRY4 プリミティブ ポート信号 合計出力 - O[3:0] 合計出力には 加算 / 減算の最終結果が出力されます スライスの AMUX/BMUX/CMUX/MUX 出力に接続されます キャリー出力 - CO[3:0] キャリー出力には 各ビットのキャリーアウトが出力されます CO[3] は COUT と等価です COUT を介して CO[3] をほかの CARRY4 プリミティブの CI 入力へ接続することで より長いキャリーチェーンを構築できます キャリーチェーンは 専用の配線によってスライスに沿って上方向に接続されます キャリー出力は 必要に応じてスライスの AMUX/BMUX/CMUX/MUX 出力にも接続されます キャリー入力 - CI CIN とも呼ばれるキャリー入力を使用してスライスをカスケード接続し より長いキャリーチェーンを構築します データ入力 - I[3:0] データ入力は キャリールックアヘッドロジックの生成信号として使用されます この生成信号は LUT 出力から送信されます セレクト入力 - S[3:0] セレクト入力は キャリールックアヘッドロジックの伝搬信号として使用されます この伝搬信号は LUT 出力から送信されます キャリー初期化 - CYINIT キャリー初期化入力を使用して キャリーチェーンの最初のビットを選択します CYINIT 値は 加算の場合は 0 減算の場合は 1 あるいは最初のキャリービットを動的に指定する場合は AX 入力になります 50 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

51 プリミティブ SLIM 分散 RAM プリミティブ 表 3-2 に 8 つのプリミティブを示します 3 つのプリミティブがシングルポート RAM 3 つのプリミティブがデュアルポート RAM そして 2 つのプリミティブがクワッドポートの RAM です 表 3-2 : シングルポート デュアルポート およびクワッドポート分散 RAM プリミティブ RAM のサイズタイプアドレス入力 RAM32X1S 32 ビットシングルポート A[4:0] ( 読み出し / 書き込み ) RAM32M 32 ビット クワッドポート ARA[4:0] ( 読み出し ) ARB[4:0] ( 読み出し ) ARC[4:0] ( 読み出し ) AR[4:0] ( 読み出し / 書き込み ) RAM4X1S 4 ビット シングルポート A[5:0] ( 読み出し / 書き込み ) RAM4X1 4 ビット デュアルポート A[5:0] ( 読み出し / 書き込み ) PRA[5:0] ( 読み出し ) RAM4M 4 ビット クワッドポート ARA[5:0] ( 読み出し ) ARB[5:0] ( 読み出し ) ARC[5:0] ( 読み出し ) AR[5:0] ( 読み出し / 書き込み ) RAM128X1S 128 ビット シングルポート A[:0] ( 読み出し / 書き込み ) RAM128X1 128 ビット デュアルポート A[:0] ( 読み出し / 書き込み ) PRA[:0] ( 読み出し ) RAM25X1S 25 ビット シングルポート A[7:0] ( 読み出し / 書き込み ) 入力および出力データは 1 ビット幅です ( クワッドポート RAM を除く ) 図 3-3 に 標準的なシングルポート デュアルポート クワッドポートの分散 RAM のプリミティブを示します A AR および PRA 信号はアドレスバスです 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 51

52 第 3 章 : デザイン入力 X-Ref Target - Figure 3-3 RAM#X1S RAM#X1 RAM#M O SPO I[A:][#:0] O[#:0] W W W A[#:0] A[#:0] R/W Port AR[#:0] R/W Port PRA[#:0] Read Port PO ARC[#:0] Read Port OC[#:0] ARB[#:0] Read Port OB[#:0] ARA[#:0] Read Port OA[#:0] UG474_c3_03_ 図 3-3 : シングルポート デュアルポート およびクワッドポート分散 RAM プリミティブ 分散 RAM プリミティブを複数使用すると ビット幅の大きいメモリブロックをインプリメントできます ポート信号 同じメモリセルを読み出す場合でも 分散 RAM の各ポートはそれぞれ独立して動作します クロック W クロックは同期書き込みに使用します データ入力ピンとアドレス入力ピンのセットアップタイムは W ピンを基準としています クロックピン (W) には スライスレベルで反転オプションがあります クロック信号は ほかのロジックリソースを使用せずに 立ち下がりエッジまたは立ち上がりエッジでアクティブにできます デフォルトでは 立ち上がりエッジを使用します イネーブル / イネーブルピンはポートの書き込みを制御します が無効の場合 メモリセルへの書き込みは実行されません が有効の場合 クロックエッジに同期して アドレス入力で指定したメモリ位置にデータ入力信号が書き込まれます アドレス A[#:0] PRA[#:0] ARA[#:0] AR[#:0] アドレス入力 A[#:0] ( シングルポートおよびデュアルポートの場合 ) PRA[#:0] ( デュアルポートの場合 ) ARA[#:0] ~ AR[#:0] ( クワッドポートの場合 ) は 読み出しまたは書き込みを実行するメモリセルを選択します 必要となるアドレス入力の数はポート幅によって決定します VHL または Verilog のインスタンシエーションでは 一部のアドレス入力はバスではありません 表 3-2 に各アドレスピンの機能の概要を示します データ入力 I[#:0] データ入力 ( シングルポートおよびデュアルポートの場合 ) および I[#:0] ( クワッドポートの場合 ) には RAM に書き込む新しいデータ値が入力されます 52 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

53 プリミティブ データ出力 O SPO PO および OA[#:0] O[#:0] データ出力 O ( シングルポートまたは SPO) PO ( デュアルポート ) OA[#:0] ~ O[#:0] ( クワッドポート ) には アドレス入力で指定したメモリセルの内容が反映されます 次のアクティブな書き込みクロックエッジで データ出力 (O SPO O[#:0) には新しく書き込まれたデータが反映されます SLIM SRL シフトレジスタプリミティブ 32 ビットのシフトレジスタ (SRLC32E) は SLIM の LUT を 1 つ用いるプリミティブを 1 つ使用します 図 3-4 に 32 ビットシフトレジスタのプリミティブを示します X-Ref Target - Figure 3-4 SRLC32E 5 A[4:0] Q Q31 UG474_c3_04_ 図 3-4 : 32 ビットシフトレジスタ ポート信号 クロック シフト動作は クロックの立ち上がりエッジまたは立ち下がりエッジのいずれかに同期します データおよびクロックイネーブル入力ピンには の選択されたエッジを基準としたセットアップタイムがあります クロックピン () には スライスレベルで反転オプションがあります クロック信号は ほかのロジックリソースを使用せずに 立ち下がりエッジまたは立ち上がりエッジでアクティブにできます デフォルトでは 立ち上がりエッジを使用します データ入力 データ入力は シフトレジスタへシフトインする新しいデータ (1 ビット ) になります クロックイネーブル - クロックイネーブルピンは シフト動作を制御します クロックイネーブルピンが非アクティブのときは シフトレジスタにデータはシフトインされず 新しいデータは書き込まれません クロックイネーブルをアクティブにすると データ入力 () の内容が最初のビットに書き込まれ すべてのデータが 1 つずつシフトします 新しいデータは 出力ピン (Q) およびカスケード接続可能な出力ピン (Q31) に送信されます アドレス A[4:0] アドレス入力は 読み出されるビット ( 範囲 0 ~ 31) を選択します n 番目のビットが 出力ピン (Q) に送信されます この入力はカスケード接続可能な出力ピン (Q31) に影響せず Q31 は常にシフトレジスタの最後のビット ( ビット 31) になります 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 53

54 第 3 章 : デザイン入力 データ出力 Q データ出力 Q には アドレス入力で選択されたデータ値 (1 ビット ) が送信されます データ出力 Q31 データ出力 Q31 には 32 ビットシフトレジスタの最後のビット値が送信されます シフトインが実行されるごとに新しいデータが出力されます フリップフロッププリミティブ フリップフロップやラッチ両方を含む CLB のストレージエレメント用のプリミティブがいくつかあり さまざまな制御信号を組み合わせて使用できます 図 3-5 に FRE プリミティブを例として示します フリップフロップおよびラッチのプリミティブの詳細は Vivado esign Suite 7 シリーズ FPGA および Zynq-7000 All Programmable SoC ライブラリガイド (UG953) を参照してください X-Ref Target - Figure 3-5 FRE Q C R UG474_c3_05_ 図 3-5 : FRE プリミティブ ポート信号 データ入力 - データ入力は フリップフロップにクロックで入力される新しいデータ (1 ビット ) です データ出力 - Q Q は フリップフロップからのレジスタを介した 1 ビットのデータ出力です クロック - C データのキャプチャおよび出力のトグルには クロックの立ち上がりエッジまたは立ち下がりエッジのいずれかが使用されます データおよびクロックイネーブル入力ピンには クロックの選択されたエッジを基準としたセットアップタイムがあります クロックピン (C) には スライスレベルで反転オプションがあります クロック信号は ほかのロジックリソースを使用せずに 立ち下がりエッジまたは立ち上がりエッジでアクティブにできます デフォルトでは 立ち上がりエッジを使用します 1 つのスライスに含まれるすべてのフリップフロップで 同じクロックおよびクロックの極性を使用する必要があります クロックイネーブル - が Low のとき 入力に対するクロック遷移が無視されます セットおよびリセット入力は クロックイネーブルよりも優先されます 54 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

55 プリミティブ 同期リセット - R R が High の場合 ほかの入力よりも優先され データ出力 (Q) はクロック遷移がアクティブのときに Low に駆動されます この信号は FRE コンポーネントで使用できます デフォルトでは 電源投入時に FRE フリップフロップもクリアされます 同期セット - S S が High の場合 ほかの入力よりも優先され データ出力 (Q) はクロック遷移がアクティブのときに High に駆動されます この信号は FSE コンポーネントで使用できます デフォルトでは 電源投入時に FSE フリップフロップもプリセットされます 非同期クリア - CLR CLR が High の場合 ほかの入力よりも優先され データ出力 (Q) は Low に駆動されます この信号は F コンポーネントで使用できます デフォルトでは 電源投入時に F フリップフロップもクリアされます 非同期プリセット - PRE PRE が High の場合 ほかの入力よりも優先され データ出力 (Q) は High に駆動されます この信号は FPE コンポーネントで使用できます デフォルトでは 電源投入時に FPE フリップフロップもプリセットされます 注記 : 1 つのフリップフロップで非同期クリアと非同期プリセットの両方を使用する場合は リソースおよびタイミングパスを追加する必要があります 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 55

56 第 3 章 : デザイン入力 5 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

57 第 4 章 アプリケーション この章では より大きなファンクションの一部として CLB リソースを使用する方法について説明します また これらのファンクションを別の方法でインプリメントする場合のトレードオフについても解説します 分散 RAM のアプリケーション 分散 RAM としての用途には 小規模なアレイ向けのストレージエレメントとより大規模なアレイ向けのブロック RAM との間にトレードオフがあります 最大限の柔軟性を得るために メモリは可能な限り推論することを推奨します 分散 RAM は インスタンシエーションまたはザイリンクス LogiCORE IP を使用してターゲットにすることもできます 通常 ターゲットデバイスの SLIM またはロジックリソースが不足していない限り 4 ビット以下のメモリは すべて分散 RAM でインプリメントしてください 分散 RAM は リソース 性能 消費電力の面でより効率的です 4 ビットから 128 ビットのメモリの場合 使用する最適なリソースの判断は次の要因に依存します 1. 使用可能なブロック RAM の有無 使用できるブロック RAM がない場合は 分散 RAM を使 用します 2. レイテンシの要件 非同期読み出し機能が必要な場合は 分散 RAM を使用します 3. データ幅 1 ビットよりも大きな場合は 可能であればブロック RAM を使用します 4. 必要な性能要件 通常 レジスタ付き分散 RAM はブロック RAM に比べて Clock-to-Out タ イミングが短く 配置制約も厳しくありません シフトレジスタのアプリケーション 同期シフトレジスタ シフトレジスタのプリミティブは 同じスライスにあるレジスタを使用しません 完全に同期した読み出し / 書き込みシフトレジスタをインプリメントするには 出力ピン Q をフリップフロップに接続する必要があります 図 4-1 に示すように シフトレジスタとフリップフロップで同じクロックが使用されます 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 57

58 第 4 章 : アプリケーション X-Ref Target - Figure 4-1 SRLC32E FF Q Q Synchronous Output Address (Write Enable) Q31 UG474_c4_01_ 図 4-1 : 同期シフトレジスタ このコンフィギュレーションは デザインが簡単でタイミングソリューションの点でも優れています フリップフロップはシフトレジスタチェーンの最後のレジスタと考え 固定長モードまたは可変長モードでのアドレス指定は必要な長さから 1 を引いた値にします 必要に応じて カスケード接続可能な出力もフリップフロップを介して出力できます 固定長シフトレジスタ カスケード接続可能な 32 ビットのシフトレジスタでは 専用マルチプレクサー (F7AMUX F7BMUX および F8MUX) を使用せずに 任意の固定長モードシフトレジスタをインプリメントできます 図 4-2 に 72 ビットのシフトレジスタを示します 最後の SRLC32E プリミティブのみアドレス入力を 0b00111 に固定する必要があります または シフトレジスタの長さを 71 ビットまでに制限して ( アドレス入力を 0b00110 に固定 ) 最後のレジスタにはフリップフロップを使用することもできます SRLC32E プリミティブでは シフトレジスタの長さはアドレス入力 +1 です X-Ref Target - Figure 4-2 LUT LUT Q31 SRLC32E Q31 SRLC32E LUT LUT Q31 SRLC32E Q31 SRLC32E FF LUT Q A[4:0] Q31 SRLC32E OUT (72-bit SRL) LUT Q A[4:0] Q31 SRLC32E Q OUT (72-bit SRL) UG474_c4_02_ 図 4-2 : 固定長シフトレジスタの例 58 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

59 キャリーロジックのアプリケーション キャリーロジックのアプリケーション CLB の専用キャリーロジックは 加算器 カウンター コンパレータなどの演算の性能を向上させます 単純なカウンターまたは加算 / 減算器を含むデザインでは 自動的にキャリーロジックが推論されます 乗算器などのより複雑なファンクションは 独立した SP48E1 スライスによってインプリメントできます すべてのデバイスに搭載された SP48E1 スライスは 乗算 乗算累算 乗算加算 3 入力加算 バレルシフター 多入力マルチプレクサー マグニチュードコンパレータ ビット単位のロジックファンクション パターン検出 多入力カウンターなど 多くの独立した機能をサポートします さらに 複数の SP48E1 スライスをカスケード接続できるアーキテクチャによって 多入力の数値演算や SP フィルター および複素数演算にも対応できます SP48E1 スライスの詳細は 7 シリーズ SP48E1 スライスユーザーガイド (UG479) を参照してください CLB キャリーロジックと SP48E1 スライスのいずれを使用するかは アプリケーションによって異なります SP48E1 スライス全体よりも CLB キャリーロジックを用いた方が小規模な演算はより高速に動作し 消費電力も小さくなります SP48E1 スライスをすべて使用している場合は 効率的な代替手段として CLB スライスやキャリーロジックを利用できます キャリーロジックの使用法 キャリーロジックは 推論またはインスタンシエーションのいずれも可能です 7 シリーズ FPGA 向けに設計されたマクロを使用すると 特に複雑なファンクションで最大の柔軟性と効率が得られます ユニマクロは 加算器 カウンター コンパレータ 乗算器 乗算器 / アキュムレータを含み SP48E1 スライスを使用します ザイリンクスの IP には同様のファンクションが含まれます これらのファンクションを定義する場合 CLB キャリーロジックまたは SP48E1 スライスのいずれでインプリメントするかはユーザーが指定できます キャリーロジックはスライス (SLIL および SLIM) の隔カラムで垂直方向上向きに実行します キャリーロジックを使用する場合 ザイリンクスツールによってロジックが自動的にカラム方向に配置されます フロアプランを作成する際は キャリーロジックを使用するファンクションを必ずグループ化し キャリーチェーンが不要に中断されることのないようにする必要があります スタックドシリコンインターコネクト (SSI) テクノロジを使用するデバイスの SLR (Super Logic Region) 間では キャリーロジックのカスケード接続はできません 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 59

60 第 4 章 : アプリケーション 0 japan.xilinx.com 7 シリーズ FPGA CLB ユーザーガイド

61 第 5 章 タイミング ザイリンクスソフトウェアを使用してデザインをインプリメントする場合 多様なタイミングパラメーターをすべて理解する必要はありませんが クリティカルパスの解析やより大規模で複雑な 7 シリーズ FPGA を使用する高速デザインを目指す上級設計者にとっては タイミングモデルの理解が役立ちます ここに記載するタイミングモデルを使用する場合は ザイリンクス Timing Analyzer および各 7 シリーズ FPGA データシートの スイッチ特性 も併せて参照することを推奨します ピン名 パラメーター名 およびパスはすべて Timing Analyzer のレポートの表記と一致します この章では データシートの スイッチ特性 に記載されているほとんどの CLB タイミングパラメーターについて説明しています この章では ファンクションを次の 5 つのカテゴリに分けて解説します 2 ページの CLB スライスの一般的なタイミングモデルとパラメーター 4 ページの CLB スライスのマルチプレクサーのタイミングモデルおよびパラメーター 5 ページの CLB スライスのキャリーチェーンのタイミングモデルおよびパラメーター 7 ページの CLB スライス分散 RAM のタイミングモデルおよびパラメーター (SLIM のみ ) 70 ページの CLB スライスの SRL シフトレジスタのタイミングモデルおよびパラメーター (SLIM のみ ) 各カテゴリについて 次の 3 つのセクションでタイミングモデルを説明しています ファンクションエレメント図 - ピンや接続を示す基本的なアーキテクチャ図 タイミングパラメーター - 各 7 シリーズ FPGA データシートに記載されているタイミングパラメーターの定義 タイミング図 - ファンクションエレメントのタイミングパラメーター間の相対関係を示す図 タイミングパラメーター名は通常 T に開始ピンおよび終了ピンの組み合わせを下付きで併記した形式で表します たとえば T CK は からクロックへのセットアップタイム T CK はクロックから をディアサートするまでのホールドタイムを表します 1 つのパラメーター名がスライス内全体で複数のパスに適用され 各パスで異なる値を取る場合もあります 7 シリーズ FPGA CLB ユーザーガイド japan.xilinx.com 1

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号

ザイリンクス XCN 製造中止製品の通知 : CPLD、コンフィギュレーション PROM、Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 XCN12011 (v1.0) 2012 年 12 月 3 日 製造中止製品の通知 : CPLD コンフィギュレーション PROM Spartan および Virtex FPGA 製品のリビジョン制御 SCD 製品番号 製造中止製品の通知 概要 この通知は一部の SCD (Specification Control Document) 製品が製造中止となることをお知らせするものです これらの SCD

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

ScanFront 220/220P 取扱説明書

ScanFront 220/220P 取扱説明書 libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

ScanFront 220/220P セットアップガイド

ScanFront 220/220P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

2

2 NSCP-W61 08545-00U60 2 3 4 5 6 7 8 9 10 11 12 1 2 13 7 3 4 8 9 5 6 10 7 14 11 15 12 13 16 17 14 15 1 5 2 3 6 4 16 17 18 19 2 1 20 1 21 2 1 2 1 22 23 1 2 3 24 1 2 1 2 3 3 25 1 2 3 4 1 2 26 3 4 27 1 1 28

More information

2011 Shinano Kenshi Co.,Ltd. ... 2... 12... 12... 18... 19... 21... 21... 23 1.2.1....23 1.2.2....24 1.2.3....26 1.2.4....27... 29... 30... 30... 31... 38... 39... 40 2 ...41...42...43...43 3.1.1... 44

More information

iPhone/iPad接続マニュアル

iPhone/iPad接続マニュアル / JA 2 3 USB 4 USB USB i-ux1 USB i-ux1 5 6 i-mx1 THRU i-mx1 THRU 7 USB THRU 1 2 3 4 1 2 3 4 5 8 1 1 9 2 1 2 10 1 2 2 6 7 11 1 2 3 4 5 6 7 8 12 1 2 3 4 5 6 13 14 15 WPA Supplicant Copyright 2003-2009, Jouni

More information

WQD770W WQD770W WQD770W WQD770W WQD770W 5 2 1 4 3 WQD8438 WQD770W 1 2 3 5 4 6 7 8 10 12 11 14 13 9 15 16 17 19 20 20 18 21 22 22 24 25 23 2 1 3 1 2 2 3 1 4 1 2 3 2 1 1 2 5 6 3 4 1 2 5 4 6 3 7 8 10 11

More information

TH-47LFX60 / TH-47LFX6N

TH-47LFX60 / TH-47LFX6N TH-47LFX60J TH-47LFX6NJ 1 2 3 4 - + - + DVI-D IN PC IN SERIAL IN AUDIO IN (DVI-D / PC) LAN, DIGITAL LINK AV IN AUDIO OUT 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10 19 19 3 1 18 4 2 HDMI AV OUT

More information

WYE771W取扱説明書

WYE771W取扱説明書 WYE771W WYE771W 2 3 4 5 6 MEMO 7 8 9 10 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 11 UNLOCK RESET/ STOPALARM EMERG. TALK FIRE CONFIRM MENU OFF POWER 12 POWER EMERG. RESET/ STOPALARM

More information

TH-65LFE7J TH-50LFE7J TH-42LFE7J - + - + PC IN DVI-D IN IR IN/OUT CHARGE OUT SERIAL IN LAN AUDIO IN (DVI-D / PC) AUDIO OUT AV IN (HDMI 1 HDMI 2) 19 3 1 1 11 2 12 3 13 4 14 5 6 15 7 16 8 17 9 18 10

More information

Chapter 1 1-1 2

Chapter 1 1-1 2 Chapter 1 1-1 2 create table ( date, weather ); create table ( date, ); 1 weather, 2 weather, 3 weather, : : 31 weather -- 1 -- 2 -- 3 -- 31 create table ( date, ); weather[] -- 3 Chapter 1 weather[] create

More information

ザイリンクス XAPP522 : 最小限の配線リソースでデータパス性能を向上させるマルチプレクサー設計手法

ザイリンクス XAPP522 : 最小限の配線リソースでデータパス性能を向上させるマルチプレクサー設計手法 アプリケーションノート : partan-6 ファミリ Virtex-6 ファミリ 7 シリーズ FPGA XAPP522 (v.) 202 年 8 月 30 日 最小限の配線リソースでデータパス性能を向上させるマルチプレクサー設計手法著者 : Ken Chapman 概要 信号の多重化は一般的によく用いられる機能であり 通常はザイリンクスのインプリメンテーションツールやデバイスによって適切に処理されます

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 D1 D2 D3 情報科学基礎 I 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x n ), i

More information

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879)

PLL ダイナミック リコンフィギュレーション, アプリケーション ノート (XAPP879) アプリケーションノート : Spartan-6 ファミリ XAPP7 (v1.1) 11 年 1 月 6 日 PLL ダイナミックリコンフィギュレーション著者 : Karl Kurbjun Carl Ribbing 概要 このアプリケーションノートは ダイナミックリコンフィギュレーションポート (DRP) を介して Spartan - 6 FPGA の位相ロックループ (PLL) のクロック出力の周波数

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

DDK-7 取扱説明書 v1.10

DDK-7 取扱説明書 v1.10 DDK-7 v. JA 2 ()B-9 /4 ()B-9 2/4 3 4 ()B-9 3/4 ()B-9 4/4 5 6 7 "Mobile Wnn" OMRON SOFTWARE Co., Ltd. 999 All Rights Reserved. 8 CONTENTS 2 3 4 5 6 7 8 9 0 2 3 4 3 4 5 6 2 3 0 4 5 6 7 8 9 0 2 D. 2 3 4 5

More information

DS-30

DS-30 NPD4633-00 JA ...6... 6... 6... 6... 6... 7... 7... 7... 7... 8... 8...9...10...11...11...13 Document Capture Pro Windows...13 EPSON Scan Mac OS X...14 SharePoint Windows...16 Windows...16...17 Document

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

NetVehicle GX5取扱説明書 基本編

NetVehicle GX5取扱説明書 基本編 -GX5 1 2 3 4 5 6 7 8 # @(#)COPYRIGHT 8.2 (Berkeley) 3/21/94 All of the documentation and software included in the 4.4BSD and 4.4BSD-Lite Releases is copyrighted by The Regents of the University of California.

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

TH-80LF50J TH-70LF50J

TH-80LF50J TH-70LF50J TH-80LF50J TH-70LF50J TY-ST58P20 (70V) TY-ST65P20 (80V) TY-WK70PV50 TY-FB10HD TY-PG70LF50 (70V) TY-PG80LF50 (80V) - + - + SERIAL IN, SERIAL OUT AUDIO IN (COMPOSITE) AV IN DVI-D IN/OUT PC IN AUDIO

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2016 年度 5 セメスター クラス C3 D1 D2 D3 計算機工学 10. 組合せ回路 ( 教科書 3.4~3.5 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ論理回路 x1 x2 xn 組合せ論理回路 y1 y2 ym y i = f i (x 1, x 2,, x

More information

ES-D400/ES-D200

ES-D400/ES-D200 NPD4564-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 EPSON Scan...15 EPSON Scan...16 Epson Event Manager...17 Epson Event Manager...17 Epson Event Manager...17

More information

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

インテル®  Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド 更新情報 フィードバック 最新版をウェブからダウンロード : PDF HTML 目次 目次 1 インテル Stratix デバイスの LAB および の概要... 3 2 HyperFlex レジスター... 4...5 3.1 LAB... 5 3.1.1 MLAB... 6 3.1.2 ローカル インターコネクトおよびダイレクトリンク インターコネクト...6 3.1.3 キャリーチェーンのインターコネクト...

More information

EPSON ES-D200 パソコンでのスキャンガイド

EPSON ES-D200 パソコンでのスキャンガイド NPD4271-00 ...4...7 EPSON Scan... 7...11 PDF...12 / EPSON Scan...13 EPSON Scan...13 EPSON Scan...14 EPSON Scan...14 EPSON Scan...15 Epson Event Manager...16 Epson Event Manager...16 Epson Event Manager...16

More information

基本操作ガイド

基本操作ガイド HT7-0022-000-V.4.0 Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 4 1 1 2 3 4 5 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 6 7 1 2 3 4

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

PX-504A

PX-504A NPD4537-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...15...16...16...18...19...20!ex...20 /...21 P.I.F. PRINT Image Framer...21...22...26...26...27...27...27...31

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

PX-403A

PX-403A NPD4403-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...27...27...28...28...28...32 Web...32...32...35...35...35...37...37...37...39...39...40...43...46

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

PX-434A/PX-404A

PX-434A/PX-404A NPD4534-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...21...22!ex...22 /...23 P.I.F. PRINT Image Framer...23...24...26...27...27...28...28...31 Web...31...31...35...35...35...37...37...37...39...39...40...43...48

More information

ES-D400/ES-D350

ES-D400/ES-D350 NPD4650-00 ...4 EPSON Scan... 4 Document Capture Pro Windows... 7 EPSON Scan...10 EPSON Scan...10...14 PDF...15 / EPSON Scan...17 EPSON Scan...17 EPSON Scan...18 EPSON Scan...18 Document Capture Pro Windows...19

More information

DS-70000/DS-60000/DS-50000

DS-70000/DS-60000/DS-50000 NPD4647-02 JA ...5...7...8 ADF...9... 9 ADF...10...11...13...15 Document Capture Pro Windows...15 EPSON Scan Mac OS X...16 SharePoint Windows...18 Windows...18...19 Windows...19 Mac OS X...19...20...23...23

More information

PPTフォーム(white)

PPTフォーム(white) Spartan-6 概要 株式会社 PALTEK Engineering Group Proprietary to PALTEK CORPORATION 1 アジェンダ Spartan-6 導入 概要 Spartan-6 アーキテクチャ CLB ブロック RAM SelectIO クロック DSP メモリコントローラブロック (MCB) GTP 2 概要 ( ファミリ ) Virtex-6 LXT

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

EPSON PX-503A ユーザーズガイド

EPSON PX-503A ユーザーズガイド NPD4296-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...28...29...29...30...33

More information

GT-X980

GT-X980 NPD5061-00 JA ...6...10...10...11...13...15...20...21...21...22 /...23 PDF...27 PDF...31 /...35...38...43...46 EPSON Scan...49...49...49...50 EPSON Scan...51...51...52...52...53 2 Windows...53 Mac OS X...53...53...53...54...56...56...58...59...60...60...61...62...63

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

GT-X830

GT-X830 NPD5108-00 ...5... 5... 6... 8...11 EPSON Scan...11 PDF...16 OCR...16...17...17...20 /...20...20...22...23...23...24...25...25...26...27 PDF...30...31 / EPSON Scan...34 EPSON Scan...34 EPSON Scan...36

More information

Xilinx XAPP523 LVDS 4x Asynchronous Oversampling Using 7 Series FPGAs, Application Note

Xilinx XAPP523 LVDS 4x Asynchronous Oversampling Using 7 Series FPGAs, Application Note アプリケーションノート : 7 シリーズ FPGA XAPP523 (v1.0) 2012 年 4 月 6 日 7 シリーズ FPGA で LVS を使用した 4x 非同期オーバーサンプリング著者 : Marc efossez 概要 このアプリケーションノートでは SelectIO インターフェイスプリミティブで LVS を使用して非同期通信でデータをキャプチャする方法について説明します この方法では

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

EP-704A

EP-704A NPD4533-01 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.11...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...29...30...30...31...34

More information

2

2 SXSXD 2 3 4 5 6 7 8 9 10 11 12 13 DC12V EIAJ RC5320A Class4 14 15 16 17 18 19 20 21 22 23 24 25 26 SCOPE CHART SCOPE CHART CHART SCOPE SCOPE SCOPE CHART CHART 27 SCOPE MODE CHART MODE 28 29 CHART MODE

More information

GT-F740/GT-S640

GT-F740/GT-S640 NPD4743-00 JA ...5 EPSON Scan... 5 Document Capture Pro / Document Capture...11...14 EPSON Scan...14 PDF...18 OCR...18...19...19...21 /...21...22...23 GT-F740...24...24...25...26...26...26...27 PDF...28...30

More information

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換(FFT) のシミュレーションの高速化

ISim ハードウェア協調シミュレーション チュートリアル : 浮動小数点高速フーリエ変換(FFT) のシミュレーションの高速化 ISim ハードウェア協調シミュレーションチュートリアル : 浮動小数点高速フーリエ変換 (FFT) のシミュレーションの高速化 UG817 (v 14.1) 2012 年 4 月 24 日 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the

More information

MusicSoft Manager

MusicSoft Manager MusicSoft Manager( ミュージックソフトマネージャー ) は 電子楽器で扱うファイル ( ソングやスタイルデータ ) を iphone/ipod touch/ipad 上で管理するアプリケーションです 本アプリケーションにより以下のことができます データのダウンロード購入 データをアプリと楽器 コンピューター オンラインストレージサービス Dropbox ( ドロップボックス ) 間で転送

More information

1 2 3 4 5 6 7 2.4 DSOF 4 1 1 1 1 1 1 1 1 1 1 1 1 2 3 4 5 6 7 8 1 2 3 4 5 1 6 7 1 2 3 4 1 5 6 7 8 1 1 2 2 2 2 1 2 3 4 5 6 7 8 9 10 2 11 12 2 2 2 2 1 2 3 2 4 5 6 7 8 II II 2 \ \ 9

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

EPSON EP-803A/EP-803AW ユーザーズガイド

EPSON EP-803A/EP-803AW ユーザーズガイド NPD4293-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...30...30...31...31...31...35

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

EPSON EP-703A ユーザーズガイド

EPSON EP-703A ユーザーズガイド NPD4295-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...22...23...24!ex...24 /...25 P.I.F. PRINT Image Framer...25...26...29...30...30...31...31...34

More information

7 シリーズ FPGA マイグレーション メソドロジ ガイド (UG429)

7 シリーズ FPGA マイグレーション メソドロジ ガイド (UG429) 7 シリーズ FPGA マイグレーション メソドロジガイド Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with Xilinx

More information

TF Series with Tio1608-D System Setup Guide

TF Series with Tio1608-D System Setup Guide システムセットアップガイド 第 1 版 : 2016 年 6 月 このガイドでは ヤマハデジタルミキシングコンソール TF シリーズ と I/O ラック Tio1608-D を使用したミキシングシステムのセットアップ手順や Tio1608-D の台数に応じたシステム例を紹介します TF シリーズは単体でも使用することができますが Tio1608-D を併用することで簡単にシステムを拡張することができ

More information

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL

NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation (MITEL MiVoice 6725ip Microsoft Lync Phone 41-001367-06 REV02 クイックスタートガイド NOTICE The information contained in this document is believed to be accurate in all respects but is not warranted by Mitel Networks Corporation

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

ds031-1.fm

ds031-1.fm 0 Virtex TM -II FPGA : DS031-1 (v2.0) 2003 8 1 0 0 Virtex -II 業界初の Platform FPGA ソリューション IP-Immersion アーキテクチャ - 集積度は 4 万から 800 万システムゲートまで - 内部クロックスピードが 420MHz 注 - 840Mb/s 以上の I/O 注 注 : 以降の改訂時に変更される場合があります

More information

PX-673F

PX-673F NPD4385-00 ...6... 6...10 Mac OS X...11 Mac OS X v10.5.x v10.6.x...11 Mac OS X v10.4.x...15...18...19...19...21...22...23!ex...23 /...24 P.I.F. PRINT Image Framer...24...25...28...29...29...30...30...33

More information

Appendix

Appendix Appendix Appendix-A PHP 392 Appendix-B -> cd ext/pgsql -> phpize ->./configure --with-pgsql -> make -> make EXTENSION_DIR=/usr/local/lib/php/extensions install extension_dir = "/usr/local/lib/php/extensions/"

More information

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認 DS099-E09 (v2.5) 2006 年 12 月 14 日 XC3S5000 FPGA エラッタと Spartan-3 データシートの確認 エラッタ このエラッタは Spartan-3 XC3S5000 FPGA の量産デバイスおよびエンジニアリングサンプルの両方に適用されます その他の Spartan-3 FPGA では ここに記載したエラッタは該当しません 記載されている以外のデバイスをご使用の場合は

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』 アプリケーションノート : Virtex-II Pro および Virtex-4 ファミリ R XAPP5 (v2.1) 2005 年 7 月 20 日 著者 : Simon Tam 概要 このアプリケーションノートでは Virtex -II Virtex-II Pro または Virtex-4 デバイスにおける Error Correction Control (ECC) モジュールのインプリメンテーションについて説明します

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881)

Virtex-6 FPGA で LVDS を使用した、1.25Gb/s での 4X 非同期オーバーサンプリング, アプリケーション ノート (XAPP881) アプリケーションノート : Virtex-6 FPGA XAPP881 (v1.0.1) 2010 年 7 月 25 日 Virtex-6 FPGA で LVDS を使用した 1.25Gb/s での 4X 非同期オーバーサンプリング著者 : Catalin Baetoniu Brandon Day 概要 Virtex -6 FPGA の SelectIO テクノロジによって 1.25Gb/s で 4X

More information

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1

Microsoft PowerPoint - LAB-03-SR18-ã…Łã‡¡ã‡¤ã…«ã…ªã‡¹ã…‹ã‡¢-v1 自習 & ハンズオントレーニング資料 System Recovery 18 ファイルのリストア ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス本部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく行われることもあります なお 当ドキュメントの内容は参考資料として

More information

UltraScale アーキテクチャ メモリ リソース ユーザー ガイド (UG573)

UltraScale アーキテクチャ メモリ リソース ユーザー ガイド (UG573) UltraScale アーキテクチャメモリリソース ユーザーガイド この資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください 改訂履歴 次の表に この文書の改訂履歴を示します 日付バージョン内容 209 年 2 月

More information

7 シリーズ FPGA メモリ リソース ユーザー ガイド (UG473)

7 シリーズ FPGA メモリ リソース ユーザー ガイド (UG473) 7 シリーズ FPGA メモリリソース ユーザーガイド 本資料は表記のバージョンの英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します 資料によっては英語版の更新に対応していないものがあります 日本語版は参考用としてご使用の上 最新情報につきましては 必ず最新英語版をご参照ください The information disclosed to you hereunder (the Materials

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

Syslog、SNMPトラップ監視の設定

Syslog、SNMPトラップ監視の設定 AdRem NetCrunch 8 参考資料 NetCrunch は AdRem Software が開発し所有する監視ソフトウェアである 株式会社情報工房は日本における総販売代理店である 2015 Johokobo, Inc. 目次 1. SYSLOG SNMP トラップ監視の概要... 3 2. SYSLOG SNMP トラップ監視の設定方法... 3 2.1. NETCRUNCH オプションの設定...

More information

DS-860

DS-860 NPD4958-00 JA 2013 Seiko Epson Corporation. All rights reserved. EPSON EXCEED YOUR VISION Microsoft Windows Windows Server Windows Vista SharePoint Microsoft Corporation Intel Intel Core Intel Corporation

More information

License

License 第三者のソフトウェアについて お客様がご購入のキヤノン製品 ( 以下 本製品 ) には 第三者のソフトウェア モジュール ( その更新されたものを含み以下 第三者ソフトウェア ) が含まれており かかる 第三者ソフトウェア には 以下 1~8 の条件が適用されます 1. お客様が 第三者ソフトウェア の含まれる 本製品 を 輸出または海外に持ち出す場合は 日本国及び関連する諸外国の規制に基づく関連法規を遵守してください

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード]

Microsoft PowerPoint - LogicCircuits09note.ppt [互換モード] 組み合わせ回路と順序回路 論理回路 第 9 回フリップフロップ http://www.info.kindai.ac.jp/lc 38 号館 4 階 N-4 内線 5459 takasi-i@info.kindai.ac.jp 組み合わせ回路 ある時刻の信号が 現在の信号だけで決まる回路 順序回路 ある時刻の信号が 現在の信号だけでなく 過去の信号の影響も受ける回路 ( 回路内にバッファ メモリがある

More information

Sharing the Development Database

Sharing the Development Database 開発データベースを共有する 目次 1 Prerequisites 準備... 2 2 Type of database データベースのタイプ... 2 3 Select the preferred database 希望のデータベースを選択する... 2 4 Start the database viewer データベース ビューワーを起動する... 3 5 Execute queries クエリを実行する...

More information

2.4 DSOF 4 1 2 3 4 1 2 3 4 5 6 7 8 9 10 11 12 1 2 SET RESET POWER PPP PPP 3 POWER DATA 4 SET RESET WAN PC1 PC2 5 POWER PPP DATA AIR 6 1 2 3 4 5 6 7 II II II 8 1 2 3 4 5 6 7 8 9 10 II

More information

PX-B750F

PX-B750F NPD4539-00 ...6... 6... 9 Mac OS X...10 Mac OS X v10.5.x v10.6.x...10 Mac OS X v10.4.11...13...16...16...17...18...20...22!ex...22...23...26...27...27...28...28...30 Web...30...30...34...34...34...35...36...36...38...40...40...44...46...51

More information

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS

1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください 1.1. MFS スプリット演算器 MFS2 用コンフィギュレータソフトウェア MFS2CFG バージョン 0.02 取扱説明書 1/10 NM-9307 改 2 1. はじめに 本書は スプリット演算器 MFS2 用コンフィギュレータソフトウェア の取扱方法 操作手順 注意事項などを説明したものです Windows の操作や用語を理解している方を前提にしています Windows の操作や用語については それぞれのマニュアルを参照してください

More information

2.4 DSOF 4 RESET WAN LAN1 LAN2 LAN3 LAN4 DC-IN 12V 1 2 3 4 ON 1 2 3 4 ON 1 2 3 4 5 6 7 8 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 1 2 3 4 5 3 1 2 1 2 3 4

More information

2.4 DSOF 4 RESET MO DE AP RT 1 2 3 4 5 6 7 8 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 1 2 3 4 5 3 1 2 1 2 3 4 5 6 7 8 1 2 3 4 5 1 2 3 1 2 3 1 2 3 4 5 6

More information

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN

問 2. タイミングチャート以下に示す VHDL コードで記述されている回路に関するタイミングチャートを完成させよ ) レジスタの動作 use IEEE.std_logic_64.all; entity RegN is generic (N : integer := 8 port ( CLK, EN 第 8 回中間試験前の演習 問.VHDL ソースコードを読む () 次の VHDL のソースコードが記述しているゲート回路の回路図を示せ. use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic is port ( A : in std_logic_vector(3

More information

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE 差し替え版 第 7 回マイクロプロセッサの VHDL 記述 マイクロプロセッサ全体および主要な内部ユニットの,VHDL 記述の例を示す. 1)MPU(Micro Processor Uit) Module 1MPU のエンティティ記述とコントローラの例以下は, 簡単な MPU の VHDL 記述の例である ただし, アーキテクチャ部分は, 命令読み込みと実行の状態遷移のみを実現したステートマシンである

More information

POWER LINK AIR 2.4 DS/OF 4 1 1 LINK AIR POWER LINK AIR 1-1 POWER 1-2 POWER LINK AIR 1 1-3 POWER LINK AIR 1 POWER LINK AIR PC1 PC2 PC3 PC4 DC-IN DC5V 1-4 1 1 2 3 4 1 5 6 7 8 1 2 3 4 5 1 1 2

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 4 vii 5 6 7 8 9 viii This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information

準備と設定

準備と設定 ii iii iv v vi 1 2 3 vii 4 5 6 7 8 viii 9 ix This product (including software) is designed under Japanese domestic specifications and does not conform to overseas standards. NEC* 1 will not be held responsible

More information