ModelSim-Altera - RTL シミュレーションの方法

Similar documents
Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II クイック・スタートガイド

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - Convert Programming File の使い方

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II クイック・スタート・ガイド

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

Nios II 簡易シミュレーション

Nios II SBT Flash Programmer ユーザ・ガイド

Quartus II はじめてガイド - プロジェクトの作成方法

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

オンチップ・メモリ クイック・ガイド for Cyclone III

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Nios II Flash Programmer ユーザ・ガイド

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Quartus II はじめてガイド - ピン・アサインの方法

複数の Nios II を構成する際の注意事項

Quartus II はじめてガイド - デバイス・プログラミング方法

Nios II 簡易チュートリアル

Nios II - Vectored Interrupt Controller の実装

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

Quartus II Web Edition インストール・ガイド

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

クラウドファイルサーバーデスクトップ版 インストールマニュアル 利用者機能 第 1.2 版 2019/04/01 富士通株式会社

TDK Equivalent Circuit Model Library

クラウドファイルサーバーデスクトップ版 インストールマニュアル ファイルサーバー管理機能 第 1.1 版 2017/01/24 富士通株式会社

TDK Equivalent Circuit Model Library

Quartus II - デバイスの未使用ピンの状態とその処理

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

Report Template

AN1609 GNUコンパイラ導入ガイド

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

目次 1. 回答作成手順 2 2. ツールの起動 3 3. 一般情報の入力 6 4. 成分表の入力 9 5. 依頼者情報の入力 エラーチェック XMLファイルの作成 動作設定 ( 任意 ) ( ご参考 ) 各種シートのボタン機能 ( ご参

A 既製のプロジェクトがある場合

Maser - User Operation Manual

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

HyperLynx SI/PI/Thermal ライセンス設定ガイド

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

FA/LAインストールガイド(トライアル版)

Quartus II はじめてガイド - 回路図エディタの使い方

Microsoft Word - XOOPS インストールマニュアルv12.doc

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴う改訂 2018/01/22 ソフトウェア OS のバージョンアップに伴う改訂

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

PLL クイック・ガイド for Cyclone III

Shareresearchオンラインマニュアル

Application Note Application Note No. ESC-APN Document No.: ESC-APN adviceluna Linux デバッグ手順 (MIPS コア編 ) はじめに adviceluna Linux デバッグ手順 ( 以

DigiCert EV コード署名証明書 Microsoft Authenticode署名手順書

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

KDDI ホスティングサービス G120 KDDI ホスティングサービス G200 WordPress インストールガイド ( ご参考資料 ) rev.1.2 KDDI 株式会社 1

ポップアップブロックの設定

機能仕様書フォーマット

PCL6115-EV 取扱説明書

エプソン社製スキャナドライバImage Scan! for Linux インストール手順

PowerPoint Presentation

[ 証明書の申請から取得まで ] で受領したサーバ証明書を server.cer という名前で任意の場所に保存してください ( 本マニュアルではローカルディスクの work ディレクトリ [C:\work] に保存しています ) 中間 CA 証明書を準備します 次の URL にアク

PowerPoint プレゼンテーション

アルファメールプレミア 移行設定の手引き

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

目次 移行前の作業 3 ステップ1: 移行元サービス メールソフトの設定変更 3 ステップ2: アルファメール2 メールソフトの設定追加 6 ステップ3: アルファメール2 サーバへの接続テスト 11 ステップ4: 管理者へ完了報告 11 移行完了後の作業 14 作業の流れ 14 ステップ1: メー

Microsoft Word - Android開発環境構築.doc

( 目次 ) 1. XOOPSインストールガイド はじめに 制限事項 サイト初期設定 XOOPSのインストール はじめに データベースの作成 XOOPSのインストール

BACREX-R クライアント利用者用ドキュメント

TRENDフォトビューアの起動方法と使い方

改訂履歴 改訂日付 改訂内容 2014/11/01 初版発行 2017/01/16 Studuino web サイトリニューアルに伴う改訂 2017/04/14 Studuino web サイトリニューアルに伴うアクセス方法の説明変更 2018/01/22 Mac 版インストール手順変更に伴う改訂

アルファメール 移行設定の手引き Outlook2016

Report Template

IOWebDOC

4-7.コネクト2.0_電話操作マニュアル(ZoiperFree)_v1.1.0

Microsoft Word - SSI_Smart-Trading_QA_ja_ doc

intra-mart ワークフローデザイナ

<8B9E93738CF092CA904D94CC814090BF8B818F B D836A B B B816A2E786C73>

ダウンロード方法 アルテラのソフトウェアをインストールするためのダウンロード ファイルには以下の種類が あります.tar フォーマットのソフトウェアとデバイス ファイルがバンドルされたセット ダウンロードとインストールをカスタマイズするための個別の実行ファイル ディスクに焼いて他の場所にインストール

生存確認調査ツール

HLS はじめてガイド - 簡易チュートリアル

ポップアップブロックの設定

Microsoft iSCSI Software Targetを使用したクラスタへの共有ディスク・リソースの提供

Microsoft Word - CBSNet-It連携ガイドver8.2.doc

WindowsシンクライアントでPCと同じ組み合わせキーを利用する方法

Works Mobile セットアップガイド 目次 管理者画面へのログイン... 1 ドメイン所有権の確認... 2 操作手順... 2 組織の登録 / 編集 / 削除... 6 組織を個別に追加 ( マニュアル操作による登録 )... 6 組織を一括追加 (XLS ファイルによる一括登録 )...

Report Template

SAC (Jap).indd

Agileイベント・フレームワークとOracle BPELを使用したPLMワークフローの拡張

LINE WORKS セットアップガイド目次 管理者画面へのログイン... 2 ドメイン所有権の確認... 3 操作手順... 3 組織の登録 / 編集 / 削除... 7 組織を個別に追加 ( マニュアル操作による登録 )... 7 組織を一括追加 (XLS ファイルによる一括登録 )... 9

Ver.70 改版履歴 版数 日付 内容 担当 V /09/5 初版発行 STS V /0/8 証明書バックアップ作成とインストール手順追加 STS V /0/7 文言と画面修正 STS V..0 0//6 Firefox バージョンの変更 STS V..40

TRENDフォトビューアの起動方法と使い方

LEAP を使用して Cisco ワイヤレス クライアントを認証するための Funk RADIUS の設定

平成 30 年 5 月 国民健康保険中央会

Microsoft Word - FactoryActivationManagerQuickManualR00

Microsoft Word - 参考資料:SCC_IPsec_win7__リモート設定手順書_

DWR-S01D Updater 取扱説明書 発行日 :2011/2/28

Transcription:

ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc.

目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成... 7 3-2-2. 既存ファイルの登録... 8 3-3. 3-4. 3-5. 3-6. 3-7. 3-8. 3-9. ファイルの並び替え (VHDL のみ )... 9 コンパイル... 10 MegaFunction ライブラリの登録 (Verilog-HDL のみ オプション )...11 ロード... 12 シミュレーションの実行... 13 シミュレーション結果の確認... 14 シミュレーションとプロジェクトの終了... 15 改版履歴...16 ver. 15.1 2016 年 5 月 2/16 ALTIMA Corp. / ELSENA,Inc.

1. はじめに この資料は アルテラ ユーザ向けに提供されている ModelSim -Altera をはじめてご利用になるユーザ向けに やさしく解説しています 論理合成前の論理シミュレーション (RTL シミュレーション ) を行うには ModelSim-Altera などのハードウェア記述言語 (HDL) 用のシミュレータを使用します なお この資料は ModelSim-Altera 10.4b (Quartus Prime 15.1) をベースに説明します また Quartus Prime 開発ソフトウェアには NativeLink という機能があります これは ModelSim-Altera などの EDA ツールの実行を Quartus Prime 開発ソフトウェアの操作フローに統合することで Quartus Prime 開発ソフトウェアのメニューから自動でシミュレーション ツールを起動してシミュレーションを実行することができます その際 デザイン ファイルの登録やコンパイルなども自動で行ってくれます NativeLink については 本資料を入手したサイト内の以下の資料をご覧ください Quartus Prime EDA ツールの設定方法 ver. 15.1 2016 年 5 月 3/16 ALTIMA Corp. / ELSENA,Inc.

2. RTL シミュレーションの手順 RTL シミュレーションでは 論理合成前に設計した RTL コードがどのような動作をするか確認します この段階では論理合成や配置配線を行っていませんので 各信号に伝搬遅延情報が含まれない論理機能のみのシミュレーションを行います ModelSim-Altera を使用した RTL シミュレーションのフローを以下に示します Verilog-HDL と VHDL のどちらの言語を使用しているかによって 操作が若干異なります ver. 15.1 2016 年 5 月 4/16 ALTIMA Corp. / ELSENA,Inc.

3. RTL シミュレーションの実施 ModelSim-Altera で RTL シミュレーションを実施します ここでは 1 つ 1 つ手動でデザイン ファイルの登録やコンパイル ロードを行いながらシミュレーションする方法を説明します なお アルテラ メガファンクション * や MegaCore IP を含んだデザインのシミュレーションを行う場合は アルテラ メガファンクションや IP のユーザ ガイドなども参照してください (*)PLL や RAM など MegaWizard Plug-In Manager で作成したブロック 3-1. 新規プロジェクトの作成 ModelSim-Altera を起動してシミュレーション用の新規プロジェクトを作成します 1 ModelSim-Altera を起動します 2 File メニュー New Project を選択すると Create Project ウィンドウが起動します 3 プロジェクト名やプロジェクト ディレクトリを設定します Project Name Project Location Default Libraly Name プロジェクト名を入力 プロジェクトを作成するディレクトリを指定 ライブラリ フォルダ名を入力 Verilog-HDL VHDL 4 OK をクリックして Create Project ウィンドウを閉じます ver. 15.1 2016 年 5 月 5/16 ALTIMA Corp. / ELSENA,Inc.

5 Add items to the Project ウィンドウが表示されますが Close をクリックして Add items to the Project ウィンドウを終了します Create Project ウィンドウを閉じると Add items to the Project ウィンドウが表示されます このウィンドウを活用して次の操作 ( デザインの登録や作成 ) を行うこともできますが この資料では メニューから操作する方法を説明します ver. 15.1 2016 年 5 月 6/16 ALTIMA Corp. / ELSENA,Inc.

3-2. ファイルの作成と登録 作成したプロジェクトに シミュレーション実行に必要なファイル ( デザインやテストベンチ ) を作成および登録します 3-2-1. 新規ファイルの作成 新規でデザインおよびテストベンチ ファイルを作成します 既存のファイルがある場合には この手順は省略します 1 Project ウィンドウ (Project タブ ) をアクティブにします 2 Project メニュー Add to Project New File を選択します Create Project File ウィンドウが表示されます 3 Create Project File ウィンドウ内の各項目を入力し OK をクリックします File Name Add file as type Folder ファイル名を入力 ファイルの種類をプルダウンから選択 ファイルの保存先を指定 Verilog-HDL VHDL File メニュー New Source から新規ファイルを生成することもできますが その場合は新規作成したファイルが自動的にプロジェクトに登録されないので注意が必要です ver. 15.1 2016 年 5 月 7/16 ALTIMA Corp. / ELSENA,Inc.

4 Project ウィンドウに作成したファイルが登録されていることを確認します 5 Project ウィンドウに登録されたファイルをダブルクリック または選択して右クリック Edit でファイルを編集します 6 編集したファイルを保存します コンパイル時に自動保存されません コンパイル前に必ず保存してください 3-2-2. 既存ファイルの登録 既存のデザインやテストベンチがある場合は プロジェクトにファイルを登録します 1 Project ウィンドウ (Project タブ ) をアクティブにします 2 Project メニュー Add to Project Existing File を選択します 3 Add file to Project ウィンドウ内の File Name の Browse をクリックし プロジェクトに追加するファイル ( デザインやテストベンチ ) を選択します ファイルの複数選択も可能です 必要なファイルをすべて登録したら OK をクリックして ウィンドウを閉じます 4 Project ウィンドウに追加したファイルが登録されていることを確認します ver. 15.1 2016 年 5 月 8/16 ALTIMA Corp. / ELSENA,Inc.

3-3. ファイルの並び替え (VHDL のみ ) VHDL の場合 コンパイル前にファイルの階層を指定する必要があります 下位階層 ( パッケージやユーザ ライブラリ ) から順にコンパイルし 最後に最上位階層のファイル ( テストベンチ ) をコンパイルします 以下の手順でコンパイル対象のファイルの順番を設定します Verilog-HDL の場合は この作業は不要です 1 Compile メニュー Compile Order を選択します 2 Compile Order ウィンドウにおいて ファイルの順番を または ボタンで並び替えます 最上位階層はテストベンチになります 同階層に位置するファイルに関しては順不同です 並べ替えが完了したら OK で Compile Order ウィンドウを閉じます 最下位階層 最上位階層 ver. 15.1 2016 年 5 月 9/16 ALTIMA Corp. / ELSENA,Inc.

3-4. コンパイル プロジェクトに登録したシミュレーションに必要なファイル ( デザイン ファイルおよびテストベンチ ファイル ) をコンパイルします 登録したすべてのファイルを一度にコンパイル Compile メニュー Compile All を選択します 各ファイルを個別にコンパイル Project ウィンドウ内でコンパイルするファイルを選択後 右クリックして Compile Compile Selected を選択します コンパイルが問題なく完了しているか エラーがあるかについては Status の表示で確認することができます エラーがある場合には エラー箇所を確認し修正して再度コンパイルします コンパイル後にすべてのファイルにエラーがないことを確認します 未コンパイル コンパイル済 ( エラーなし ) コンパイル済 ( エラーあり ) ver. 15.1 2016 年 5 月 10/16 ALTIMA Corp. / ELSENA,Inc.

3-5. MegaFunction ライブラリの登録 (Verilog-HDL のみ オプション ) ModelSim-Altera - RTL シミュレーションの方法 シミュレーション対象となるデザインをロードします Verilog-HDL デザイン内に アルテラ メガファンクションが含まれる場合には アルテラ メガファンクション ライブラリを登録する必要があります また MegaCore IP を含む場合は 各々必要なライブラリの登録が必要となります VHDL の場合は この作業は不要です デザインにアルテラ メガファンクションが含まれない場合は この作業は不要です 1 Simulate メニュー Start Simulation を選択します 2 Start Simulation ウィンドウ内の Library タブを選択します 3 Search Libraries (-L) 欄の Add をクリックします 4 Select Library ウィンドウのプルダウン リストより目的のライブラリ *2 を指定します < ライブラリ名 >_ver と表示されているものが Verilog-HDL 用のライブラリです Verilog-HDL の場合は 必ず _ver がついているライブラリを選択してください (*) 選択するライブラリは Quartus Prime 開発ソフトウェアの MegaWizard Plug-In Manager でメガファンクションを作成する際に EDA セクションで確認できるメガファンクションもあります 使用するメガファンクションなどのドキュメントも 併せて確認してください ( 例 ) ALTPLL:altera_mf_ver 例 :ALTPLL Altera PLL:altera_lnsim_ver ver. 15.1 2016 年 5 月 11/16 ALTIMA Corp. / ELSENA,Inc.

3-6. ロード シミュレーション対象となるデザインをロードします 1 Simulate メニュー Start Simulation を選択し Start Simulation ウィンドウを表示させます 2 Design タブを選択します プロジェクト作成時に設定したワーキング ライブラリ ( デフォルト名 work) を展開すると コンパイルしたデザイン ユニットが表示されます Verilog-HDL Verilog-HDL の場合は テストベンチ ( 最上位ファイル ) の Module を選択します VHDL VHDL の場合は テストベンチ ( 最上位ファイル ) の Architecture を選択します Verilog-HDL VHDL 3 OK をクリックします デザインのロードが開始されます 4 ロードが完了すると sim ウィンドウが追加されます ver. 15.1 2016 年 5 月 12/16 ALTIMA Corp. / ELSENA,Inc.

3-7. シミュレーションの実行 シミュレーションを実行します 1 モニタしたい信号を選択するため Object ウィンドウを表示させます View メニュー Object を選択します ロード完了時にすでに Object ウィンドウが表示されている場合には このステップを省略します 2 シミュレーション結果を波形で確認するため Wave ウィンドウを表示させます View メニュー Wave を選択します ロード完了時にすでに Wave ウィンドウが表示されている場合には このステップを省略します 3 メイン ウィンドウ内に Wave ウィンドウが表示されます 4 sim ウィンドウの目的のユニットを指定します Object ウィンドウに表示されたユニット内の信号から 検証したい信号を選択して Wave ウィンドウへドラッグ & ドロップで移動します 目的のユニットを指定 検証したい信号を選択して ドラッグ & ドロップ 5 Transcript ウィンドウで run < 実行時間 >< 単位 > とコマンド入力し Enter を押すと シミュレーションが実行されます 例 : VSIM> run 100us もしくは メイン ウィンドウを選択し Run Length 欄に実行したいシミュレーション時間を入力して Run をクリックでも シミュレーションを実行できます ver. 15.1 2016 年 5 月 13/16 ALTIMA Corp. / ELSENA,Inc.

3-8. シミュレーション結果の確認 得られたシミュレーション結果の波形を確認します 様々なツールバーを使って 波形を見やすくしてください 主なものを紹介します : ピン名の表示方法の切り替え : 指定した範囲を拡大して表示 : 波形の全体表示 : 拡大表示 : 縮小表示 また 16 進数などデータ表示を変更したい場合は 信号を選択して右クリック Radix で変更できます ver. 15.1 2016 年 5 月 14/16 ALTIMA Corp. / ELSENA,Inc.

3-9. シミュレーションとプロジェクトの終了 シミュレーションを終了させるには 以下の手順で行います 1 Simulate メニュー End Simulation でシミュレーションを終了します 2 Project ウィンドウをアクティブにして File メニュー Close でプロジェクトを終了させます ver. 15.1 2016 年 5 月 15/16 ALTIMA Corp. / ELSENA,Inc.

改版履歴 Revision 年月概要 1 2016 年 5 月初版 免責およびご利用上の注意 弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 本資料を入手されました下記代理店までご一報いただければ幸いです 株式会社アルティマ ホームページ : http://www.altima.co.jp 技術情報サイト EDISON: https://www.altima.jp/members/index.cfm 株式会社エルセナ ホームページ : http://www.elsena.co.jp 技術情報サイト ETS : https://www.elsena.co.jp/elspear/members/index.cfm 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる際は 各メーカ発行の英語版の資料もあわせてご利用ください ver. 15.1 2016 年 5 月 16/16 ALTIMA Corp. / ELSENA,Inc.