PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC

Size: px
Start display at page:

Download "PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELEC"

Transcription

1 PBASIC 2.5 PBASIC 2.5 BASIC Stamp Editor / Development System Version 2.0 Beta Release PBASIC BASIC StampR PBASIC PBASIC PBASIC 2.5 Parallax, Inc. PBASIC 2.5 PBASIC 2.5 support@microbot-ed.com 1

2 PBASIC 2.5 PBASIC 2.5 $PBASIC directive PIN type New DEBUG control characters DEBUGIN Line continuation for comma-delimited lists IF THEN ELSE * SELECT CASE DO LOOP EXIT to terminate loops 10 ON GOSUB 11 ON GOTO 12 READ / WRITE enhanced 13 PUT / GET enhanced * 14 Program labels require colon 15 Conditional Compilation Directives * 16 Enhanced Interface / Color Syntax Highlighting * $PBASIC Directive Directive Version Compile PBASIC PBASIC ' {$PBASIC 2.0} ' 2.0 ' {$PBASIC 2.5} ' version PBASIC PIN Type Type I/O PIN I/O input output input In output Out ******************************************************************* SDA PIN 8 SCL PIN 9 I2C_Start: 2

3 PBASIC 2.5 INPUT SDA INPUT SCL LOW SDA ' make pins inputs Clock_Hold: DO LOOP WHILE (SCL = 0) RETURN ' monitor input bit *************************************************************** DEBUG BASIC Hyper Terminal CRSRXY X Y X Y CRSRLF CRSRRT CRSRUP CRSRDN LF 10 Linefeed character CLREOL 11 CLRDN 12 CRSRX 14 X X CRSRY 15 Y Y DEBUG CRSRXY, 1, 1 DEBUG "BASIC Stamp Editor Version 2.0", CR ********************************************************************************* 2 2 CRSRXY 1 1 DEBUGIN DEBUG DEBUGIN SERIN PIN 16 baud rate DEBUG 9600 modifiers DEBUGIN DEBUG SERIN SEROUT 3

4 PBASIC 2.5 ******************************************************************************** Get_Hours: DEBUG Home, "Enter hours: ", CLREOL DEBUGIN DEC hrs IF (hrs > 23) THEN Get_Hours ********************************************************************************* DEBUGIN DEBUG Echo Off 2 ******************************************************************************** DEBUG "Hello, World", CR, "PBASIC 2.5 is ready for action!" BRANCH idx, [Target1, Target2, Target3, Target4, Target5, Target5] SELECT idx CASE 1, 2, 6, 10, 11, 12 HIGH 0 CASE 3, 4, 5, 13, 14, 15 HIGH 1 ENDSELECT ******************************************************************************** IF THEN ELSE PBASIC 2.5 IF THEN ELSE 2 ***************************************************************** IF condition THEN statement(s) { ELSEIF condition THEN statement(s) } { ELSE statement(s) } ENDIF ***************************************************************** 4

5 PBASIC 2.5 ENDIF IF condition THEN statement(s) { ELSEIF statement(s) } { ELSE statement(s) } 1 THEN ELSEIF ELSE ENDIF 1 IF THEN ELSE 16 nest ************************************************************************** IF (score > 90) THEN DEBUG "Your grade is an A!", CR ELSE DEBUG "Perhaps more study is in order...", CR ENDIF IF (idx = 1) THEN HIGH 10 : LOW 11 ELSE LOW 10 : HIGH 11 ************************************************************************** SELECT CASE IF THEN ELSE SELECT CASE SELECT CASE PBASIC ******************************************************** SELECT expression CASE condition ELSE statement(s) ENDSELECT ******************************************************** expression expression condition condition op # condition op # expression #TO# 5

6 PBASIC 2.5 CASE CASE True CASE ENDSELECT ****************************************************** SELECT ircmd CASE 0 TO 3 HIGH ircmd CASE AllOff, Mute OutA = %0000 CASE ELSE DEBUG "Bad Command", CR ENDSELECT ******************************************************* DO LOOP PBASIC 2.5 DO { WHILE UNTIL condition } statement(s) LOOP { UNTIL WHILE condition } True 16 DO LOOP Nested *********************************************************** DO TOGGLE PAUSE LOOP ************************************************************ DO WHILE (Status = Okay) StatusLED = IsOn PAUSE 100 LOOP ************************************************************ 6

7 PBASIC 2.5 ******************************************************** DO AlarmLED = IsOn PAUSE 1000 LOOP UNTIL (oventemp < ResetThreshold) ******************************************************** EXIT EXIT FOR NEXT DO LOOP 16 EXIT *************************************** FOR samples = 1 TO 10 GOSUB Read_Temp GOSUB Display_Temp IF (temp > 100) THEN EXIT PAUSE 1000 NEXT *************************************** temp temp 100 FOR NEXT 10 ON GOSUB ON GOSUB BASIC PBASIC BRANCH GOSUB ON offset GOSUB Target0 {, Target1, Target2,...TargetN } offset N index 255 ON GOSUB 255 7

8 PBASIC 2.5 ********************************************************************** DO ON task GOSUB Update_Motors, Read_IR, Read_Light, Read_Temp task = task + 1 // NumTasks LOOP ********************************************************************** 11 ON GOTO ON GOTO BASIC PBASIC BRANCH ON offset GOTO Target0 {, Target1, Target2,...TargetN } offset N index 255 ON GOSUB 255 ON alarmlevel GOTO Code1, Code2, Code3 BRANCH BRANCH alarmlevel, [Code1, Code2, Code3] 12 READ and WRITE READ WRITE Bytes Words 1 READ WRITE READ location, {Word} variable {, {Word} variable, {Word} variable... } WRITE location, {Word} variable {, {Word} variable, {Word} variable... } READ 0, hours, minutes, seconds WRITE 3, month, day, Word year Word low byte high byte 8

9 PBASIC PUT and GET PUT GET Bytes Words 1 PUT GET PUT location, {Word} variable {, {Word} variable, {Word} variable... } GET location, {Word} variable {, {Word} variable, {Word} variable... } PUT 0, hours, minutes, seconds GET 3, month, day, Word year Word low byte high byte 14 PBASIC 2.5 GOTO BRANCH Get_Hours: ' good label DEBUG Home, "Enter hours: ", CLREOL DEBUGIN DEC hrs IF (hrs > 23) THEN Get_Hours Get_Mins ' illegal label DEBUG Home, "Enter minutes: ", CLREOL DEBUGIN DEC mins IF (mins > 59) THEN Get_Mins ****************************************************************************************** 15 BASIC #DEFINE symbol = value 9

10 PBASIC 2.5 #DEFINE NOSPRAM = ($STAMP = BS2) #IF (condition) #THEN statement(s) { #ELSE statement(s) } #ENDIF ***************************************** #IF (NOSPRAM) #THEN DEBUG "No SPRAM in this Stamp." END #ENDIF #SELECT expression #CASE condition statement(s) #ENDSELECT ***************************************** #SELECT $STAMP #CASE BS2, BS2e, BS2pe MidiBaud CON $ #CASE BS2sx, BS2p MidiBaud CON $ #ENDSELECT #ERROR message ****************************************** editor #ERROR #IF ($STAMP = BS2) #THEN #ERROR "No SPRAM in this Stamp." #ENDIF ****************************************** 10

11 PBASIC 2.5 BS2 BASIC 16 BASIC Edit\Preferences Preferences Editor Appearance PBASIC scheme Copy Scheme approve Show Preview Example 11

syspro-0405.ppt

syspro-0405.ppt 3 4, 5 1 UNIX csh 2.1 bash X Window 2 grep l POSIX * more POSIX 3 UNIX. 4 first.sh #!bin/sh #first.sh #This file looks through all the files in the current #directory for the string yamada, and then prints

More information

PICマイコンボード カタログ:テック・ハンゾウガネ

PICマイコンボード カタログ:テック・ハンゾウガネ PIC PICMB876 Catalogue Microchip PIC / PIC http://www5b.biglobe.ne.jp/~tekhanzo/ Copyright,(C2000 TechHanzougane I PIC (PICMB876PIC16F876 A/D10Bit( PIC16C773( A/D12Bit,PIC16F873,PIC16C73,PIC16C76,PIC16F73,PIC16F76,

More information

コンピュータ概論

コンピュータ概論 4.1 For Check Point 1. For 2. 4.1.1 For (For) For = To Step (Next) 4.1.1 Next 4.1.1 4.1.2 1 i 10 For Next Cells(i,1) Cells(1, 1) Cells(2, 1) Cells(10, 1) 4.1.2 50 1. 2 1 10 3. 0 360 10 sin() 4.1.2 For

More information

コンピュータ概論

コンピュータ概論 5.1 VBA VBA Check Point 1. 2. 5.1.1 ( bug : ) (debug) On Error On Error On Error GoTo line < line > 5.1.1 < line > Cells(i, j) i, j 5.1.1 MsgBox Err.Description Err1: GoTo 0 74 Visual Basic VBA VBA Project

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

Technische Beschreibung P82R SMD

Technische Beschreibung P82R SMD P26 halstrup-walcher GmbH http://www.krone.co.jp/ Stegener Straße 10 D-79199 Kirchzarten, Germany 124-0023 2-22-1 TEL:03-3695-5431 FAX:03-3695-5698 E-MAIL:sales-tokyo@krone.co.jp 530-0054 2-2-9F TEL:06-6361-4831

More information

Compatibility list: vTESTstudio/CANoe

Compatibility list: vTESTstudio/CANoe 1.0 および 1.1 で作成されたテストユニットは テスト内で使用されるコマンドに関わらず 必ず下記の最小バージョン以降の CANoe にて実行してください vteststudio 2.0 以上で作成されたテストユニット ( 新機能を使用していない場合 ) は それぞれに応じた最小バージョン以降の CANoe にて実行してください 下記の表にて 各バージョンに対応する要件をご確認ください vteststudio

More information

第10回 コーディングと統合(WWW用).PDF

第10回 コーディングと統合(WWW用).PDF 10 January 8, 2004 algorithm algorithm algorithm (unit testing) (integrated testing) (acceptance testing) Big-Bang (incremental development) (goto goto DO 50 I=1,COUNT IF (ERROR1) GO TO 60 IF (ERROR2)

More information

Version 1.2 Parallax Inc.

Version 1.2 Parallax Inc. Version 1.2 support@microbot-ed.com Parallax Inc. ...1 1...2...2...5 LM358...6...6...7...9...9 Troubleshooting... 11 Output... 11 Comparator...12...13...14...14...15...15 2 Bit...16...16...16...16...17...18

More information

AN 100: ISPを使用するためのガイドライン

AN 100: ISPを使用するためのガイドライン ISP AN 100: In-System Programmability Guidelines 1998 8 ver.1.01 Application Note 100 ISP Altera Corporation Page 1 A-AN-100-01.01/J VCCINT VCCINT VCCINT Page 2 Altera Corporation IEEE Std. 1149.1 TCK

More information

,,,,., C Java,,.,,.,., ,,.,, i

,,,,., C Java,,.,,.,., ,,.,, i 24 Development of the programming s learning tool for children be derived from maze 1130353 2013 3 1 ,,,,., C Java,,.,,.,., 1 6 1 2.,,.,, i Abstract Development of the programming s learning tool for children

More information

PDW-75MD

PDW-75MD 3-270-633-02(1) PDW-75MD 2007 Sony Corporation m a b c 2 ... 2 6 6... 8... 8 1... 10... 10... 12... 13... 13... 19... 23 2... 25... 26... 27... 27... 28... 29... 29... 29... 30... 31... 33 3... 34... 34...

More information

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~ .NET プログラマー早期育成ドリル VB 編 付録文法早見表 本資料は UUM01W:.NET プログラマー早期育成ドリル VB 編コードリーディング もしくは UUM02W:.NET プログラマー早期育成ドリル VB 編コードライティング を ご購入頂いた方にのみ提供される資料です 資料内容の転載はご遠慮下さい VB プログラミング文法早見表 < 基本文法 > 名前空間の定義 Namespace

More information

ワイヤレス~イーサネットレシーバー UWTC-REC3

ワイヤレス~イーサネットレシーバー UWTC-REC3 www.jp.omega.com : esales@jp.omega.com www.omegamanual.info UWTC-REC3 www.jp.omega.com/worldwide UWIR UWTC-NB9 / UWRH UWRTD UWTC 61.6 [2.42] REF 11.7 [0.46] 38.1 [1.50] 66.0 [2.60] REF 33.0 [1.30]

More information

compiler-text.dvi

compiler-text.dvi 2018.4 1 2 2.1 1 1 1 1: 1. (source program) 2. (object code) 3. 1 2.2 C if while return C input() output() fun var ( ) main() C (C-Prime) C A B C 2.3 Pascal P 1 C LDC load constant LOD load STR store AOP

More information

untitled

untitled EPX-64S Rev 1.2 1.. 3 1.1.......... 3 1.2....... 3 1.3....... 4 1.4... 4 1.5... 4 2........ 5 2.1.... 5 EPX64S_GetNumberOfDevices........ 5 EPX64S_GetSerialNumber........ 6 EPX64S_Open....... 7 EPX64S_OpenBySerialNumber

More information

TF Editor V3.5 ユーザーガイド

TF Editor V3.5 ユーザーガイド DIGITAL MIXING CONSOLE JA - 2 - TF Editor - 3 - TF Editor NOTE - 4 - TF Editor 1. 2. 3. 1. 2. 3. 4. - 5 - TF Editor NOTE NOTE - 6 - TF Editor NOTE - 7 - TF Editor 1 2 3 4 5 6 7 8 9 0 1 2-8 - TF Editor

More information

listings-ext

listings-ext (6) Python (2) ( ) ohsaki@kwansei.ac.jp 5 Python (2) 1 5.1 (statement)........................... 1 5.2 (scope)......................... 11 5.3 (subroutine).................... 14 5 Python (2) Python 5.1

More information

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble

25 II :30 16:00 (1),. Do not open this problem booklet until the start of the examination is announced. (2) 3.. Answer the following 3 proble 25 II 25 2 6 13:30 16:00 (1),. Do not open this problem boolet until the start of the examination is announced. (2) 3.. Answer the following 3 problems. Use the designated answer sheet for each problem.

More information

DV-RA1000HD_Jpn(b)

DV-RA1000HD_Jpn(b) » D00927901A DV-RA1000HD High Definition Audio Master Recorder á á á è ì í ì ì í í ó è í è í í 2 TASCAM DV-RA1000HD ì ó ó ó ó á í ó ì ì í í ì ó í TASCAM DV-RA1000HD 3 4 TASCAM DV-RA1000HD TASCAM DV-RA1000HD

More information

Smalltalk_

Smalltalk_ DLLCC VisualWorks C Mac OS SSK-LampControl/ VisualWorksWithJun SSK-LampControl.h include SSK SSK FileBrowser SSK-LampControl.st FIle in SSK-LampControl File in SSK File in ( Smalltalk.SSK) ( C ) Controller

More information

MIFES Ver.7.0 マクロマニュアル

MIFES Ver.7.0 マクロマニュアル iv v vi 2 3 4 5 6 * * * 7 8 @6=@str3[@7++]+A insstr(mifes) :sub1 move(@@str3) :if then / goto : / gosub : if then if input(@str3,) == 0 then exit() goto if @ code == 0x0d0a @code == 0x000a goto :ret gosub

More information

mbed_library_study_meeting_v1.0.key

mbed_library_study_meeting_v1.0.key mbed _mbed 2014 11 7 https://atnd.org/events/57766 version 1.0, 07-Nov.-2014 Tedd OKANO mbed - - 4.0 (^^; 1 mbed TEDD OKANO https://twitter.com/tedd_okano 10 I 2 C http://developer.mbed.org/users/okano/

More information

GM-F520S/GM-F470S/GM-F420S

GM-F520S/GM-F470S/GM-F420S GM-F520S GM-F470S GM-F420S LCT2504-002A-H 2 3 4 200 150 150 50 1 3 4 1 2 3 1 2 3 4 5 e 6 7 8 9 p q w r t 5 6 5 23 7 8 9 p q 4 5 6 7 8 9 2 3 4 5 5 23 6 7 8 9 w 1 2 e r t p p 5 6 9( 3 DVI-D (HDCP) RGB IN

More information

Windows Cygwin Mac *1 Emacs Ruby ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2

Windows Cygwin Mac *1 Emacs Ruby ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2 September 2016 1 Windows Cygwin Mac *1 Emacs Ruby 1 1.1 ( ) 1 Cygwin Bash Cygwin Windows Cygwin Cygwin Mac 1 Mac 1.2 *2 ls *3 *1 OS Linux *2 *3 Enter ( ) 2 ~/16:00:20> ls 2 2 ls ls -a ~/16:00:20> ls -a

More information

RT300i/RT140x/RT105i 取扱説明書

RT300i/RT140x/RT105i 取扱説明書 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Typewriter face RT105i RT300i RT140p RT140f RT140i RT140e RT105i RT300i 11 RARP 9600 bit/s 8 http://www.rtpro.yamaha.co.jp/ ftp.rtpro.yamaha.co.jp 12

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

PR300 電力モニタ 通信インタフェース (RS-485通信,Ethernet通信)

PR300 電力モニタ 通信インタフェース (RS-485通信,Ethernet通信) User s Manual 1 2 3 1 2 3 Ethernet 1 2 3 4 Ethernet (ST-NO) (PCLK1) (PCLK2) (COMM) (M ASC) (M RTU) (M TCP) (RS-485) (B-RT) (PR201) (NONE) (PRI) (EVEN) (ODD) (STP) (DLN) (RS-485) (Ethernet) (IP-1)

More information

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション

WAGO Profibus /-833及び三菱MELSEC Q02HCPU/QJ71PB92Dのコンフィグレーション Version2.0(2009.2.6) Copyright 2008 by WAGO Kontakttechnik GmbH All rights reserved. WAGO Kontakttechnik GmbH Hansastraße 27 D-32423 Minden Phone: +49 (0) 571/8 87 0 Fax: +49 (0) 571/8 87 1 69 E-Mail:

More information

BASICとVisual Basic

BASICとVisual Basic Visual Basic BASIC Visual Basic BASICBeginner's All purpose Symbolic Instruction Code Visual Basic Windows BASIC BASIC Visual Basic Visual Basic End Sub .Visual Basic Visual Basic VB 1-1.Visual Basic

More information

TOPLON PRIO操作手順

TOPLON PRIO操作手順 TOPLON PRIO 2004/05/24 I/O LON WAGO TOPLON PRIO 1. 1) PCC-10 S/W 2) PC 3) PCC-10 4) Windows Lon WorksR Plug n Play Apply OK 5) Visio LonMaker LonPoint 6) TOPLON PRIO 2. IO-PRO SYM TOPLON-PRIO SNVT NVI

More information

TF Editor V4.0 ユーザーガイド

TF Editor V4.0 ユーザーガイド DIGITAL MIXING CONSOLE JA - 2 - - 3 - NOTE - 4 - 1. 2. 3. 1. 2. 3. 4. - 5 - NOTE NOTE - 6 - NOTE - 7 - 1 2 3 4 5 6 7 8 9 0 1 2-8 - 1 NOTE 1-2 - 3 4 1 2 NOTE - 9 - - 10 - 1 2 3 4 5 6 1 2-11 - 1 2 3 4 5-12

More information

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル

DA100データアクイジションユニット通信インタフェースユーザーズマニュアル Instruction Manual Disk No. RE01 6th Edition: November 1999 (YK) All Rights Reserved, Copyright 1996 Yokogawa Electric Corporation 801234567 9 ABCDEF 1 2 3 4 1 2 3 4 1 2 3 4 1 2

More information

RT300/140/105シリーズ 取扱説明書

RT300/140/105シリーズ 取扱説明書 REMOTE & BROADBAND ROUTER RT300i/RT140p/RT140f/RT140i RT140e/RT105p/RT105i/RT105e 2 3 4 5 6 7 8 9 10 Bold face Enter Ctrl Tab BS Del Console RT105i RT300i RT140p RT140f RT140i RT140e RT105p RT105i RT105e

More information

lifedesign_contest_No3

lifedesign_contest_No3 1 3 5 Apple Developer Program 5 AWS 8 Raspberry Pi 14 18 19 { "Version": "2012-10-17", "Statement": [ { "Effect": "Allow", "Action": [ "sns:createplatformendpoint" ], "Resource": [ ] ] #

More information

oxygen49-61_userguide

oxygen49-61_userguide [ WEB ] 8 9 10 1 2 3 4 6 7 11 5 1 2 3 4 Key Parameter Value Control Assign (example) MIDI CC number 10 (Pan) Data 2 (example) Release 0 (minimum) Data 3 (example) Press 127 (maximum) Key Parameter

More information

45 VBA Fortran, Pascal, C Windows OS Excel VBA Visual Basic Excel VBA VBA Visual Basic For Application Microsoft Office Office Excel VBA VBA Excel Acc

45 VBA Fortran, Pascal, C Windows OS Excel VBA Visual Basic Excel VBA VBA Visual Basic For Application Microsoft Office Office Excel VBA VBA Excel Acc \n Title 文 系 学 生 のための VBA プログラミング 教 育 についての 考 察 Author(s) 五 月 女, 仁 子 ; Soutome, Hiroko Citation 商 経 論 叢, 46(1): 45-60 Date 2010-10-31 Type Departmental Bulletin Paper Rights publisher KANAGAWA University

More information

Arduino UNO IS Report No. Report Medical Information System Laboratory

Arduino UNO IS Report No. Report Medical Information System Laboratory Arduino UNO 2015 2 25 IS Report No. Report Medical Information System Laboratory Abstract ( ) Arduino / Arduino Bluetooth Bluetooth : Arduino Arduino UNO Arduino IDE micro computer LED 1............................

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2

Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2 Excel2000VBA L e a r n i n g S c h o o l 1 Lesson 1 1 EXVBA2000 Lesson01 Lesson01.xls 2 3 Module1:(General)- Public Sub () Dim WS As Object Dim DiffDate As Integer Dim MaxRows As Integer, CopyRows As Integer

More information

untitled

untitled II 4 Yacc Lex 2005 : 0 1 Yacc 20 Lex 1 20 traverse 1 %% 2 [0-9]+ { yylval.val = atoi((char*)yytext); return NUM; 3 "+" { return + ; 4 "*" { return * ; 5 "-" { return - ; 6 "/" { return / ; 7 [ \t] { /*

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

untitled

untitled II yacc 005 : 1, 1 1 1 %{ int lineno=0; 3 int wordno=0; 4 int charno=0; 5 6 %} 7 8 %% 9 [ \t]+ { charno+=strlen(yytext); } 10 "\n" { lineno++; charno++; } 11 [^ \t\n]+ { wordno++; charno+=strlen(yytext);}

More information

Emacs Ruby..

Emacs Ruby.. command line editor 27014533 2018 3 1 5 1.1................................... 5 1.2................................... 5 2 6 2.1 Emacs...................................... 6 2.2 Ruby.......................................

More information

総合的な経済・エネルギー・環境分析に資する技術情報の整備のための研究

総合的な経済・エネルギー・環境分析に資する技術情報の整備のための研究 1995 2000 4 3 2 2 1995 SNAIO 2000 2001 2002 4 1 3 3 3 SNAIO87 4 1000 Let SNAIO87 /=1000 4 1000 Let SNAIO86 =GroupCol(SNAIO87,SNAIO87ToSNA86Col) 4 87 86 SNAIO87ToSNA86Col Basic If Then Loop End Loop For

More information

What s a Microcontroller? V1.9 What s a micro-controller? V1.9 2003 All rights reserved. Parallax, Inc. PBASIC Parallax, Inc. BASIC Stamp Parallax, Inc. PIC Microchip Technology, Inc. Limits of Liability

More information

液晶プロジェクター CP-S317J/X327J 取扱説明書

液晶プロジェクター CP-S317J/X327J 取扱説明書 CP-S317/CP-X327/CP-X328 STANDBY/ON VIDEO RGB SEARCH ASPECT MAGNIFY ON OFF FREEZE POSITION ESC HOME END PAGE DOWN ENTER AUTO PAGE UP BLANK VOLUME MUTE KEYSTONE MENU RESET TANDBY/ON INPUT KEYSTONE RESET

More information

プリント

プリント 1 2 3 4 End 1 2 End End 5 6 NEW PIN NEW PIN 1 1 PIN CONF 2 PIN 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53

More information

OS Windows Mac OS Windows Mac OS Windows XP Mac OS X OS Windows 95 Mac OS

OS Windows Mac OS Windows Mac OS Windows XP Mac OS X OS Windows 95 Mac OS About use of the Chinese character which is not in a computer Reuse and sharing of data by the large-scale character set and the Macro program HUKUDA Sinobu 1 125000 800 JIS 2 2000 3 1 488 545 2 JIS X

More information

Ver.1 1/17/2003 2

Ver.1 1/17/2003 2 Ver.1 1/17/2003 1 Ver.1 1/17/2003 2 Ver.1 1/17/2003 3 Ver.1 1/17/2003 4 Ver.1 1/17/2003 5 Ver.1 1/17/2003 6 Ver.1 1/17/2003 MALTAB M GUI figure >> guide GUI GUI OK 7 Ver.1 1/17/2003 8 Ver.1 1/17/2003 Callback

More information

Microsoft Word - Win-Outlook.docx

Microsoft Word - Win-Outlook.docx Microsoft Office Outlook での設定方法 (IMAP および POP 編 ) How to set up with Microsoft Office Outlook (IMAP and POP) 0. 事前に https://office365.iii.kyushu-u.ac.jp/login からサインインし 以下の手順で自分の基本アドレスをメモしておいてください Sign

More information

CDR1000_J

CDR1000_J PROFESSIONAL AUDIO CD ORDER PROFESSIONAL AUDIO CD ORDER OPEN/ CLOSE PEAK HOLD TIME DISPLAY INPUT SELECT UTILITY LEVEL POWER MUTE UV22 REPEAT A-B SYNC AUTO INDEX INC TRACK INC 0 10 L R PHONES LEVEL ON /

More information

program.dvi

program.dvi 2001.06.19 1 programming semi ver.1.0 2001.06.19 1 GA SA 2 A 2.1 valuename = value value name = valuename # ; Fig. 1 #-----GA parameter popsize = 200 mutation rate = 0.01 crossover rate = 1.0 generation

More information

RR-US470 (RQCA1588).indd

RR-US470 (RQCA1588).indd RR-US470 Panasonic Corporation 2006 2 3 4 http://www.sense.panasonic.co.jp/ 1 2 3 ( ) ZOOM 5 6 7 8 9 10 4 2 1 3 4 2 3 1 3 11 12 1 4 2 5 3 1 2 13 14 q φ φ 1 2 3 4 3 1 2 3 4 2 3 15 16 1 2 3 [/]p/o 17 1 2

More information

Kumagai09-hi-2.indd

Kumagai09-hi-2.indd CSR2009 CONTENTS 1 2 3 4 5 6 7 8 9 10 350 11 12 13 14 15 16 17 18 Do Check Action Plan 19 20 INPUT r r r r k k OUTPUT 21 22 Plan Action Check Do 23 24 25 26 27 28 16:50 7:30 8:00 8:30 9:30 10:00 18:00

More information

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2 " 2 B R b

I ASCII ( ) NUL 16 DLE SP P p 1 SOH 17 DC1! 1 A Q a q STX 2 18 DC2  2 B R b I 4 003 4 30 1 ASCII ( ) 0 17 0 NUL 16 DLE SP 0 @ P 3 48 64 80 96 11 p 1 SOH 17 DC1! 1 A Q a 33 49 65 81 97 113 q STX 18 DC " B R b 34 50 66 8 98 114 r 3 ETX 19 DC3 # 3 C S c 35 51 67 83 99 115 s 4 EOT

More information

For_Beginners_CAPL.indd

For_Beginners_CAPL.indd CAPL Vector Japan Co., Ltd. 目次 1 CAPL 03 2 CAPL 03 3 CAPL 03 4 CAPL 04 4.1 CAPL 4.2 CAPL 4.3 07 5 CAPL 08 5.1 CANoe 5.2 CANalyzer 6 CAPL 10 7 CAPL 11 7.1 CAPL 7.2 CAPL 7.3 CAPL 7.4 CAPL 16 7.5 18 8 CAPL

More information

N88 BASIC 0.3 C: My Documents 0.6: 0.3: (R) (G) : enterreturn : (F) BA- SIC.bas 0.8: (V) 0.9: 0.5:

N88 BASIC 0.3 C: My Documents 0.6: 0.3: (R) (G) : enterreturn : (F) BA- SIC.bas 0.8: (V) 0.9: 0.5: BASIC 20 4 10 0 N88 Basic 1 0.0 N88 Basic..................................... 1 0.1............................................... 3 1 4 2 5 3 6 4 7 5 10 6 13 7 14 0 N88 Basic 0.0 N88 Basic 0.1: N88Basic

More information

PMW-PZ1

PMW-PZ1 4-570-704-02 (1) PMW-PZ1 2015 Sony Corporation ...3...3...4...5 4K...5 4K...5...6...6 SxS...6 XQD...6...7...7...8...8...8...8...9...9...10...11...11...12...12...12...14...14...15...16 XQD...16...17 MPEG-4

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

(CC Attribution) Lisp 2.1 (Gauche )

(CC Attribution) Lisp 2.1 (Gauche ) http://www.flickr.com/photos/dust/3603580129/ (CC Attribution) Lisp 2.1 (Gauche ) 2 2000EY-Office 3 4 Lisp 5 New York The lisps Sammy Tunis flickr lisp http://www.flickr.com/photos/dust/3603580129/ (CC

More information

TeraTerm Pro V.2.32の利用法

TeraTerm Pro V.2.32の利用法 Tera Term Pro ver.2.23 Windows Ver.2.1 2 Tterm23Zip Ttermp23 cedar ttermpro Ttermpro 3 Setup Terminal 3 Setup Window 4 Setup Font 5 Setup keyboard 6 Setup Serial port 7 Setup General 8 9 Tera Term Pro

More information

ストラドプロシージャの呼び出し方

ストラドプロシージャの呼び出し方 Release10.5 Oracle DataServer Informix MS SQL NXJ SQL JDBC Java JDBC NXJ : NXJ JDBC / NXJ EXEC SQL [USING CONNECTION ] CALL [.][.] ([])

More information

midicontrolsurfaces60_J.book

midicontrolsurfaces60_J.book Pro Tools Version 6.x for TDM or LE Systems on Windows or Macintosh 932911839-01 REV A MNL,MIDI CTRL SURF 6.1,JPN .............................. 1...........................................................

More information

10/ / /30 3. ( ) 11/ 6 4. UNIX + C socket 11/13 5. ( ) C 11/20 6. http, CGI Perl 11/27 7. ( ) Perl 12/ 4 8. Windows Winsock 12/11 9. JAV

10/ / /30 3. ( ) 11/ 6 4. UNIX + C socket 11/13 5. ( ) C 11/20 6. http, CGI Perl 11/27 7. ( ) Perl 12/ 4 8. Windows Winsock 12/11 9. JAV tutimura@mist.i.u-tokyo.ac.jp kaneko@ipl.t.u-tokyo.ac.jp http://www.misojiro.t.u-tokyo.ac.jp/ tutimura/sem3/ 2002 11 20 p.1/34 10/16 1. 10/23 2. 10/30 3. ( ) 11/ 6 4. UNIX + C socket 11/13 5. ( ) C 11/20

More information

学校では教えてくれないアセットバンドル

学校では教えてくれないアセットバンドル Unity Technologies Japan Developer Relationship Engineer Yusuke Ebata Unity 5.3 Unity ICON:designed by Freepik 1 AssetBundle.CreateFromMemory AssetBundle.CreateFromFile WWW.LoadFromCacheOrDownload LoadFromCacheOrDonwload

More information

SCM (v0201) ( ) SCM 2 SCM 3 SCM SCM 2.1 SCM SCM SCM (1) MS-DOS (2) Microsoft(R) Windows 95 (C)Copyright Microsoft Corp

SCM (v0201) ( ) SCM 2 SCM 3 SCM SCM 2.1 SCM SCM SCM (1) MS-DOS (2) Microsoft(R) Windows 95 (C)Copyright Microsoft Corp SCM (v0201) ( ) 14 4 20 1 SCM 2 SCM 3 SCM 4 5 2 SCM 2.1 SCM SCM 2 1 2 SCM (1) MS-DOS (2) Microsoft(R) Windows 95 (C)Copyright Microsoft Corp 1981-1996. 1 (3) C:\WINDOWS>cd.. C:\>cd scm C:\SCM> C:\SCM>

More information

Autumn 2007 1 5 8 12 14 14 15 %!SASROOT/sassetup SAS Installation Setup Welcome to SAS Setup, the program used to install and maintain your SAS software. SAS Setup guides you through a series of menus

More information

Microsoft PowerPoint - C_Programming(3).pptx

Microsoft PowerPoint - C_Programming(3).pptx H23 年度秋学期情報スキル活用 入門 担当 : 田中基彦 ( 工学部共通教育科 ) Email: ak_tanaka@isc.chubu.ac.jp 授業のホームページ学術情報センター > 教育支援 > 情報リテラシー 授業の日程 講義内容提出課題 連絡事項を掲載 > 定期的にアクセスして確認する C 言語によるプログラミング (3) 制御文 繰り返し文 if, while, switch, for,

More information

quattro.PDF

quattro.PDF Quattro USB Audio Interface 2 M-AUDIO 3 Windows Windows 98 SE/ Windows ME/ Windows 2000/ Windows XP Platinum III 500MHz/ 96kHz Platinum II 400MKz/ 48kHz 128MB RAM / 96kHz 64MB RAM/ 48kHz Macintosh USB

More information

3 3 2 2 2 2 2 2 Moji3 API

3 3 2 2 2 2 2 2 Moji3 API 7 2015. 03. 04 2014 3 3 2 2 2 2 2 2 Moji3 API UHF U.E.Cast 2011 20138 2011 2012 2013 U.E.Cast L SN 10cm 15cm 1 LAN [Hz] 10dB API [s] -80 db [s] API 10dB 16kHz -80 db 0Hz 1kHz 300Hz 0Hz 0Hz 1m 85cm 15cm!!

More information

bc0710_010_015.indd

bc0710_010_015.indd Case Study.01 Case Study.02 30 Case Study.05 Case Study.03 Case Study.04 Case Study.06 Case Study.07 Case Study.08 Case Study.21 Case Study.22 Case Study.24 Case Study.23 Case Study.25 Case Study.26

More information

tuat1.dvi

tuat1.dvi ( 1 ) http://ist.ksc.kwansei.ac.jp/ tutimura/ 2012 6 23 ( 1 ) 1 / 58 C ( 1 ) 2 / 58 2008 9 2002 2005 T E X ptetex3, ptexlive pt E X UTF-8 xdvi-jp 3 ( 1 ) 3 / 58 ( 1 ) 4 / 58 C,... ( 1 ) 5 / 58 6/23( )

More information

Microsoft Word - SU1204教本(Driver)原稿.docx

Microsoft Word - SU1204教本(Driver)原稿.docx void setup(){ pinmode(13, OUTPUT); //13 void loop(){ digitalwrite(13, HIGH); //13 HIGH delay(500); digitalwrite(13, LOW); //13 LOW delay(500); setup pinmode loop delay C M B void setup(){ pinmode(12,output);

More information

Ruby Ruby ruby Ruby G: Ruby>ruby Ks sample1.rb G: Ruby> irb (interactive Ruby) G: Ruby>irb -Ks irb(main):001:0> print( ) 44=>

Ruby Ruby ruby Ruby G: Ruby>ruby Ks sample1.rb G: Ruby> irb (interactive Ruby) G: Ruby>irb -Ks irb(main):001:0> print( ) 44=> Ruby Ruby 200779 ruby Ruby G: Ruby>ruby Ks sample1.rb G: Ruby> irb (interactive Ruby) G: Ruby>irb -Ks irb(main):001:0> print( 2+3+4+5+6+7+8+9 ) 44 irb(main):002:0> irb irb(main):001:0> 1+2+3+4 => 10 irb(main):002:0>

More information

CM1-GTX

CM1-GTX CM1-GTX000-2002 R R i R ii 1-1 1-2 1-3 Process Variables Process Variables Pressure Output Analog Output Sensor Temp. Lower Range Value (0%) Upper Range Value (100%) Pressure Pressure Chart Pressure

More information

untitled

untitled Caché Agenda InterSystems Caché 2009.1.NET Gateway (2009.1) Truncate Caché Databases ( ( Studio Caché ObjectScript SQL Object Security InterSystems (200x.1, 200x.2) 5.2 : 2006/6 2007.1 : 2007/6 2008.1

More information

JavaScript の使い方

JavaScript の使い方 JavaScript Release10.5 JavaScript NXJ JavaScript JavaScript JavaScript 2 JavaScript JavaScript JavaScript NXJ JavaScript 1: JavaScript 2: JavaScript 3: JavaScript 4: 1 1: JavaScript JavaScript NXJ Static

More information

User Manual, Anybus Communicator for DeviceNet

User Manual, Anybus Communicator for DeviceNet User Manual Anybus Communicator for DeviceNet Rev. 2.52 HMS Industrial Networks AB Germany Japan Sweden U.S.A. France Italy China + 49-721 - 96472-0 + 81-45 - 478-5340 + 46-35 - 17 29 20 + 1-312 - 829-0601

More information

¥×¥í¥°¥é¥ß¥ó¥°±é½¬I Exercise on Programming I [1zh] ` `%%%`#`&12_`__~~~ alse

¥×¥í¥°¥é¥ß¥ó¥°±é½¬I  Exercise on Programming I [1zh] ` `%%%`#`&12_`__~~~alse I Exercise on Programming I http://bit.ly/oitprog1 1, 2 of 14 ( RD S ) I 1, 2 of 14 1 / 44 Ruby Ruby ( RD S ) I 1, 2 of 14 2 / 44 7 5 9 2 9 3 3 2 6 5 1 3 2 5 6 4 7 8 4 5 2 7 9 6 4 7 1 3 ( RD S ) I 1, 2

More information

UA-700_j

UA-700_j 2 3 4 5 6 7 8 9 10 11 ON OFF 12 13 1 2 1. 2. 3. 3 4 5 14 6 7 8 9 10 11 1. 2. 3. 15 12 ON 13 14 15 16 16 1. 2. 1. 2. 3. 17 18 17 1. 2. 19 20 18 1. 2. 3. 4. 5. 6. 7. 19 1 2 3 4 5 6 20 7 8 9 10 11 12 1. 2.

More information

Java演習(4) -- 変数と型 --

Java演習(4)   -- 変数と型 -- 50 20 20 5 (20, 20) O 50 100 150 200 250 300 350 x (reserved 50 100 y 50 20 20 5 (20, 20) (1)(Blocks1.java) import javax.swing.japplet; import java.awt.graphics; (reserved public class Blocks1 extends

More information

# let rec sigma (f, n) = # if n = 0 then 0 else f n + sigma (f, n-1);; val sigma : (int -> int) * int -> int = <fun> sigma f n ( : * -> * ) sqsum cbsu

# let rec sigma (f, n) = # if n = 0 then 0 else f n + sigma (f, n-1);; val sigma : (int -> int) * int -> int = <fun> sigma f n ( : * -> * ) sqsum cbsu II 4 : 2001 11 7 keywords: 1 OCaml OCaml (first-class value) (higher-order function) 1.1 1 2 + 2 2 + + n 2 sqsum 1 3 + 2 3 + + n 3 cbsum # let rec sqsum n = # if n = 0 then 0 else n * n + sqsum (n - 1)

More information

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal

Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS Pascal Pascal Pascal Pascal Free Pascal CPad for Pascal Microsoft Windows OS 2010 10 1 Pascal 2 1.1.......................... 2 1.2.................. 2 1.3........................ 3 2 4 2.1................................

More information

解きながら学ぶJava入門編

解きながら学ぶJava入門編 44 // class Negative { System.out.print(""); int n = stdin.nextint(); if (n < 0) System.out.println(""); -10 Ÿ 35 Ÿ 0 n if statement if ( ) if i f ( ) if n < 0 < true false true false boolean literalboolean

More information

74 No.3 0999500 999500 ACCESS

74 No.3 0999500 999500 ACCESS Mar.2003 73 ACCESS ACCESS EXCEL EXCEL 74 No.3 0999500 999500 ACCESS Mar.2003 75 76 No.3 Mar.2003 77 temp EXCEL EXCEL No.3 78 seikika.txt Mar.2003 79 EXCEL Personal.xls Visual Basic Editor VBA Project(PERSONAL.XLS)

More information

MQTT V3.1 プロトコル仕様

MQTT V3.1 プロトコル仕様 1999 d digit = X MOD 128 X = X DIV 128 // if there are mre digits t encde, set the tp bit f this digit if ( X > 0 ) digit = digit OR 0x80 endif 'utput' digit while ( X> 0 ) multiplier = 1 value = 0

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ループ ループとは? ある条件を満たすまで 指定の命令を繰り返す Do... Loop For Next For Each Next While WEnd ループの種類 Do Loop Do While 条件 ステートメント Loop Do ステートメント Loop While 条件 Do Until 条件 ステートメント Loop Do ステートメント Until Loop 条件 Do Loop

More information

untitled

untitled FutureNet Microsoft Corporation Microsoft Windows Windows 95 Windows 98 Windows NT4.0 Windows 2000, Windows XP, Microsoft Internet Exproler (1) (2) (3) COM. (4) (5) ii ... 1 1.1... 1 1.2... 3 1.3... 6...

More information

LiveCode初心者開発入門サンプル

LiveCode初心者開発入門サンプル / About LiveCode 01:... 11 02: Create... 15 set 03:... 21 name title LiveCode 04:... 29 global local width height 05:... 37 Controls Tools Palette Script Editor message handler 06:... 52 RGB 07:... 63

More information

1 Code Generation Part I Chapter 8 (1 st ed. Ch.9) COP5621 Compiler Construction Copyright Robert van Engelen, Florida State University,

1 Code Generation Part I Chapter 8 (1 st ed. Ch.9) COP5621 Compiler Construction Copyright Robert van Engelen, Florida State University, 1 Code Generation Part I Chapter 8 (1 st ed. Ch.9) COP5621 Compiler Construction Copyright Robert van Engelen, Florida State University, 2007-2013 2 Position of a Code Generator in the Compiler Model Source

More information

FreeBSD 1

FreeBSD 1 FreeBSD 1 UNIX OS 1 ( ) open, close, read, write, ioctl (cdevsw) OS DMA 2 (8 ) (24 ) 256 open/close/read/write Ioctl 3 2 2 I/O I/O CPU 4 open/close/read/write open, read, write open/close read/write /dev

More information

10 (1) s 10.2 rails c Rails 7 > item = PlanItem.new => #<PlanItem id nil, name nil,...> > item.name = "" => "" > item.valid? => true valid? true false

10 (1) s 10.2 rails c Rails 7 > item = PlanItem.new => #<PlanItem id nil, name nil,...> > item.name =  =>  > item.valid? => true valid? true false 10 (1) 16 7 PicoPlanner validations 10.1 PicoPlanner Web Web invalid values validations Rails validates validate 107 10 (1) s 10.2 rails c Rails 7 > item = PlanItem.new => #

More information

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp

: gettoken(1) module P = Printf exception End_of_system (* *) let _ISTREAM = ref stdin let ch = ref ( ) let read () = (let c =!ch in ch := inp 7 OCaml () 1. 2. () (compiler) (interpreter) 2 OCaml (syntax) (BNF,backus normal form ) 1 + 2; let x be 2-1 in x; ::= ; let be in ; ::= + - ::= * / ::= 7.1 ( (printable characters) (tokens) 1 (lexical

More information

1153006 JavaScript try-catch JavaScript JavaScript try-catch try-catch try-catch try-catch try-catch 1 2 2 try-catch try-catch try-catch try-catch 25 1153006 26 2 12 1 1 1 2 3 2.1... 3 2.1.1... 4 2.1.2

More information

TH-42PAS10 TH-37PAS10 TQBA0286

TH-42PAS10 TH-37PAS10 TQBA0286 TH-42PAS10 TH-37PAS10 TQBA0286 2 4 8 10 11 17 18 20 21 22 23 24 25 26 27 28 29 30 31 32 33 38 42 44 46 50 51 52 53 54 3 4 5 6 7 8 3 4 1 2 9 5 6 1 4 2 3 5 6 10 11 1 2 3 4 12 13 14 TH-42PAS10 TH-42PAS10

More information

A/B (2010/10/08) Ver kurino/2010/soft/soft.html A/B

A/B (2010/10/08) Ver kurino/2010/soft/soft.html A/B A/B (2010/10/08) Ver. 1.0 kurino@math.cst.nihon-u.ac.jp http://edu-gw2.math.cst.nihon-u.ac.jp/ kurino/2010/soft/soft.html 2010 10 8 A/B 1 2010 10 8 2 1 1 1.1 OHP.................................... 1 1.2.......................................

More information