目次 2 1. 目的 捉える課題 2. コンポーネントシステムについて TECS (TOPPERS Embedded Component System) 他言語との比較 3. コンポーネントシステムの適用 TOPPERS/SSPカーネルへの適用開発工程における有効性ソフトウェア構造の俯瞰における有効

Size: px
Start display at page:

Download "目次 2 1. 目的 捉える課題 2. コンポーネントシステムについて TECS (TOPPERS Embedded Component System) 他言語との比較 3. コンポーネントシステムの適用 TOPPERS/SSPカーネルへの適用開発工程における有効性ソフトウェア構造の俯瞰における有効"

Transcription

1 9 th Workshop on Critical Software System ( 2011) TOPPERS/SSP への組込みコンポーネントシステム適用における設計情報の可視化と抽象化 株式会社ヴィッツ組込制御開発部 TOPPERS TECS-WG 鵜飼敬幸

2 目次 2 1. 目的 捉える課題 2. コンポーネントシステムについて TECS (TOPPERS Embedded Component System) 他言語との比較 3. コンポーネントシステムの適用 TOPPERS/SSPカーネルへの適用開発工程における有効性ソフトウェア構造の俯瞰における有効性 4. まとめ 今後の取り組み

3 目的 3 組込みソフトウェアの大規模化に対応する 複雑なソフトウェアの つながり を整理するアプローチ 多分岐 多部品を含むソフトウェア構造へのアプローチ コンポーネントシステムの有効性を確認する TECSを例にUML 等の他言語との違いと利点 フィーチャ バリエーションを包含するソフトウェアの理解性向上 UML TECS

4 捉える課題 大規模ソフトウェアにおける課題 課題を抱える現場では何が起こっているのか? 機能追加によるコードとリソースの肥大化 初期アーキテクチャのまま時間を理由に歪な継ぎ足しを繰り返す 機能の肥大化がリソースの拡充に直結する 製品バリエーションに対応するコードが混在 条件分岐 マクロスイッチのネストや箇所が膨大で理解性に乏しい 過剰な 変数 関数の複写 が増加の一途を辿る 4 ソフトウェアの保守性 解析性における観点での矛盾 : 新規の仕様追加 変更において修正ポイントが分かりやすい事 問題の発生が生じた場合の原因箇所が特定しやすい事 分かりやすければ改修時の修正時間が理由にはならない 実装機能を必要内に集約できれば理解性低下の抑制に寄不

5 5 E.C. R&D Dept. コンポーネントシステムについて

6 コンポーネントシステムについて 6 コンポーネントシステムの前提 ソフトウェアを何らかの部品単位で扱うための仕組み 再利用し易い形 部品で開発する 部品化へのアプローチに用いる技術が重要なキー ソフトウェアの継続的な改善を支援する仕組み ライフサイクルを鑑み 長期的に継続して改善できることが重要 モデルベース開発との協調 ソフトウェアのモデルを記述しプログラムを ( 自動 ) 生成する 人間が行う作業負荷 ( 記述量 ) の削減 モデル化の段階での設計検証 組込みシステムで扱える決定版が存在しなかった 汎用 OSではJavaBeans, CORBA,.NETなど他多数存在 組込み開発で扱える仕組みが登場 (TECS, SaveCCT )

7 TECS とは 7 TECS (TOPPERS Embedded Component System) 2009 年 5 月にNPO 法人 TOPPERSから一般公開 組込みソフトウェア向けのコンポーネントシステムとして開発 TECS 仕様で規定しているもの TECS 仕様 内容 コンポーネントモデル コンポーネント図 コンポーネント記述言語 TECS CDL コンポーネント実装モデル TECS によるソフトウェア部品の構成と使い方を規定 コンポーネントモデルを図により表現する手段 正確なコンポーネントの定義とコンポーネントを組み合わせてシステムを組み上げる記述言語 TECS コンポーネントを実装し 利用するモデルを定義仕様書に提供されているモデルは一例 本発表のポイント

8 ロードマップにみる位置づけ 8 大規模化 複雑化 動的オブジェクト生成 新世代カーネルフルセット TECS コンポーネントシステム対応 ASP 新世代カーネル仕様スタンダードプロファイル FMP マルチコアプロセッサ拡張 HRP2 メモリ保護, 時間保護 ASP Safety 機能安全対応 高性能 省エネルギー 省エネルギー制御 信頼性 安全性 SSP 最小セット 適用範囲拡大 リリース前のカーネルの名称は仮称

9 TECS に関して 9 利用者の想定スキル コンポーネント作成者 利用者 UMLにおけるクラスが設計できる程度のスキルを想定 構造化設計に知見があること 仕様の完成度 2009 年 5 月に Version1 を一般公開 達成機能 : 静的結合, ROM/RAM 出力支援, 最適化, RPC, プラグイン機構 例 ) コンポーネントの呼び出しをシリアル出力によって時系列でトレース可能とするトレースプラグインなど 利用上の注意事項 TOPPERSライセンスに準拠 Windows, Cygwin, OSX 上ので動作を確認 Windowsの実行形式以外の利用はRubyおよびraccが必要

10 設計領域の位置づけ 10 想定するコンポーネントシステムがカバーする設計領域の範囲 TECS を例として 分析 設計 UML TECS コンポーネント図 実装 C アセンブラ TECS TECS CDL テスト 静的コード解析ツール デバッガ トレースプラグイン

11 開発の流れ 11 (1)TECS コンポーネント図でソフトウェア構造を表現する 関数の型である (2) シグニチャ記述を行い 部品の型となる (3) セルタイプを記述する 必要な部品の型が揃ったら (4) 組上げ記述を行う これらすべてを (5)TECS CDL を用いて行う (5) の作成物を (6)TECS ジェネレータに通す その結果 対象言語の (10) インタフェースコード (9) ヘッダファイル (8) セルタイプコードのテンプレートが自動生成される セルタイプコードのテンプレートを元に コンポーネント開発者は (11) セルタイプコード (C 言語 ) を記述する インタフェースコードとセルタイプコードを (12) コンパイルし (13) リンクすることで (14) アプリケーションモジュールが完成する コンポーネント仕様開発者 (2) シグニチャ記述 ( インタフェースの定義 )(3) セルタイプ記述 ( コンポーネントの定義 ) (4) 組上げ記述 ( コンポーネントの構成の定義 ) (5) TECS CDL( コンポーネント記述言語 ) (8) テンプレートコード (11) セルタイプコード ( コンポーネントのソースコード ) 設計 コンポーネント開発者 仕様の規定 (6) TECS ジェネレータ (9) ヘッダ (10) インタフェースコード (12)C コンパイラ (13) リンカ (14) アプリケーションモジュール 製品 アプリケーション開発者 設計 (1) コンポーネント図 利用 (7) プラグイン 設計 プラグイン開発者 エンドユーザー RPC アクセス制御 トレース

12 呼び側 ccall1_func1( ) ccall1_func2( ) 受け側 結合の実装構造の標準形 受け口ディスクリプタ &tb_eent_mt &tb_b_cb 受け口関数テーブル tb_eent_func1_skel tb_eent_func2_skel tb_eent_func3_skel 受け口関数テーブルへのポインタ 受け側のセル CB 受け口スケルトン関数 ER { } tb_eent_func1_skel( struct tag_ssig1_vdes *epd) struct tag_tb_eent_des *lepd = (struct tag_tb_eent_des *)epd; return tb_eent_func1( lepd->idx ); 受け口関数 ta A tb B 12 /* 呼び口関数マクロ ( 短縮形 ) */ #define ccall1_func1( ) ta_ccall1_func1( p_cellcb ) #define ta_ccall1_func1( p_that ) (p_that)->ccall1->vmt-> func1( (p_that)->ccall1 ) 呼び側のセル CB typedef struct tag_ta_cb { /* call port */ struct tag_ssig1_vdes *ccall1; struct tag_ssig2_vdes *ccall2; } ta_cb; ER eent_func1( tb_idx idx) { ER ercd_ = E_OK; tb_cb *p_cellcb; if( tb_valid_idx( idx ) ){ p_cellcb = GET_CELLCB(idx); }else{ return E_ID; } /* 処理 */ return ercd_; }

13 結合の実装構造の標準形 13 呼び側 受け側 受け口関数テーブル 受け口スケルトン関数 ccall1_func1( ) ccall1_func2( ) 受け口ディスクリプタ &tb_eent_mt &tb_b_cb tb_eent_func1_skel tb_eent_func2_skel tb_eent_func3_skel 受け口関数テーブルへのポインタ 受け側のセル CB ER { } tb_eent_func1_skel( struct tag_ssig1_vdes *epd) struct tag_tb_eent_des *lepd = (struct tag_tb_eent_des *)epd; return tb_eent_func1( lepd->idx ); 受け口関数 /* 呼び口関数マクロ ( 短縮形 ) */ #define ccall1_func1( ) ta_ccall1_func1( p_cellcb ) #define ta_ccall1_func1( p_that ) (p_that)->ccall1->vmt-> func1( (p_that)->ccall1 ) 呼び側のセル CB typedef struct tag_ta_cb { /* call port */ struct tag_ssig1_vdes *ccall1; struct tag_ssig2_vdes *ccall2; } ta_cb; ER eent_func1( tb_idx idx) { ER ercd_ = E_OK; tb_cb *p_cellcb; if( tb_valid_idx( idx ) ){ p_cellcb = GET_CELLCB(idx); }else{ return E_ID; } /* 処理 */ return ercd_; }

14 他言語との比較 14 統一モデリング言語 (UML) との比較 TECS コンポーネント図 オブジェクト図 ( 実体の関連 ) に相当 コンポーネントの型 = セルタイプは TECS の概念 コンポーネントの結線は操作可能な関数束を表す コンポーネント図では関連の存在に加えて 関数テーブル ( シグニチャ ) と関連方向 ( 呼び口 受け口 ) を確定する UML の関連は集約や多重度, 依存などの存在を表現できる 関連 クラス図 :UML 関連 オブジェクト図 :UML tcell Cell1 呼び口 ccallport 受け口 eentryport シグニチャ soperation コンポーネント図 :TECS tcell Cell2

15 UML との比較 15 UML TECS 備考 クラス セルタイプ TECSではコンポーネントの型を意味する セルタイプ同士の関連を表す関連図はない オブジェクト セル TECSではコンポーネントの型の実体を指す オブジェクト図に対してTECSコンポーネント図を用いる クラス内関数 シグニチャ TECSでは関連線が関数テーブルを指し 名前をもつ また呼びだし側 : 呼び口, 呼ばれ側 : 受け口の名前をもつ UMLは矢印も可能 シグニチャは必ず方向の指定を伴う アクセス指定子なし C 言語の機能に併せアクセス指定子と記号はない 継承 派生なしシグニチャを踏襲することで機能の継承は可能 tcell Cell1 呼び口 ccallport 受け口 eentryport シグニチャ soperation tcell Cell2 セルタイプ名 セル名 コンポーネント図 :TECS 受け口にはセル内部に矢印を表記する

16 他言語との比較 16 C++(Java) 言語との比較 継承 派生の関係, アクセス指定子は規定しない オブジェクト指向のプログラム言語に依存してしまう TECSではUMLのクラスの 操作 が独立した情報 C 言語で扱える点がTECSの特徴 class CApp { クラス private: int m_att1; public: int Ope1(int arg1); }; CApp.h(.hpp) クラス宣言 (C++) signature soperation { シグニチャ uint32_t Ope1( [in] int32_t arg1 ); }; celltype tcell { セルタイプ call soperation ccallport; 呼び口 entry soperatoin eentryport; 受け口 attr { int32_t attr1 = 10000; }; var { uint32_t var1; }; }; tcell.cdl ( コンポーネント記述ファイル ) シグニチャ宣言とセルタイプ宣言 (TECS)

17 C++(Java) との比較 17 signature soperation { シグニチャ uint32_t Ope1( [in] uint32_t arg1 ); }; celltype tcell { セルタイプ call soperation ccallport; 呼び口 entry soperatoin eentryport; 受け口 attr { uint32_t attr1 = 10000; }; var { uint32_t var1; }; }; tcell.cdl ( コンポーネント記述ファイル ) シグニチャ宣言とセルタイプ宣言 cell tcell Cell1 { ccallport = Cell2.eEntryPort; var1 = 1; }; cell tcell Cell2 { var1 = 2; }; Main.cdl( コンポーネント記述ファイル ) セル宣言と全体の組上げ 構文 call entry tcell Cell1 意味 呼び口 受け口 呼び口 ccallport 受け口 eentryport シグニチャ soperation コンポーネント図 tcell Cell2 attr 定数 (celltype 宣言時に初期化要 ) var コンポーネント内変数

18 C++(Java) 言語との比較 18 3 段階の出力手順を経る TECSはコンポーネントの情報をエンティティ化 独立した情報 CDLファイルの内容が設計情報の丌足を補完する コンポーネント構成 結合情報を文章から言語記述へ オブジェクト指向における設計フロー TECS の設計フロー

19 19 E.C. R&D Dept. コンポーネントシステムの適用

20 コンポーネントシステムの適用方針 20 TOPPERS/SSP カーネルへコンポーネントシステムを適用 カーネル本体に手を加えずラッパーとしてコンポーネント化する 動作の上位互換を確保する TOPPERS/SSP カーネルのサンプルが TOPPERS/ASP カーネルでも動作できるよう, 拡張パッケージの導入を前提とする TOPPERS/SSP カーネル ( 以降 SSP と呼ぶ ) TOPPERS Smallest Set Profile Kernel uitron4.0 仕様の 仕様準拠の最低限の条件 をベースとする小規模リアルタイムカーネル : 拡張パッケージの導入で ASP と上位互換 2011 年 6 月 10 日会員早期リリース TOPPERS/ASP カーネル ( 以降 ASP と呼ぶ ) TOPPERS Advanced Standard Profile Kernel uitron4.0 仕様のスタンダードプロファイル準拠のリアルタイムカーネル 2006 年より一般公開中

21 コンポーネント全体像 21 TOPPERS/SSP カーネルに対応するコンポーネント化 ASP 対応版を基に SSP 版との共通化を検討した コンポーネント記述は SSP/ASP 共通セルで全て同じ サービスの機能単位でコンポーネント化した 組み合わせによりセルを新設 周期タスク等を複合セルによりコンポーネント化 複合コンポーネントについては本発表では触れない teventflag tdataqueue tprioritydataqueue tsemaphore tfixedsizememorypool tisr ttask tkernel SSP カーネル tcyclichandler tcyclictask tcyclictaskactivator talarmhandler tkernel ttask tisr tterminateroutine ASP 対応セル 拡張パッケージ対応セル tconfiginterrupt tisrwithconfiginterrupt tinitializeroutine SSP/ASP 共通セル TOPPERS/SSP コンポーネント全体像 SSP 仕様 カーネルに対応したセル同士のコンポーネント間の結合は 既存コードをラップする手段としたため アプリケーションを実装した際に出現する ( 図は結合のイメージ )

22 コンポーネント全体像 22 SSP シリアル出力サンプルプログラムへの適応 シリアル接続のターミナルから入力文字を待機 入力文字に応じて タスクの起動, 終了,CPU 例外発生を行う ttask InitTask tcyclichandler CyclicHandler ttask MainTask tsample1 Sample1 tkernel SSPKernel ttask Task tserial Serial 2 重線は能動的に動作するアクティブセル サンプルプログラム TECS コンポーネント図

23 適用結果 23 コンポーネント化の恩恵を確認 コンポーネントのオーバーヘッドを小さく抑えられる SSP のような小さなカーネルでも利用を検討できる C++ のようなオブジェクト指向的な概念を これまで組込開発に持ち込めなかった点の打開策になり得る 文字送信における比較 1 文字 5 文字 コンポーネント ( 最適化なし ) 31.27us 69.16us コンポーネント ( 最適化あり ) 29.16us 64.18us 非コンポーネント 29.15us 63.47us 非コンポーネント ( インライン ) 27.00us 61.59us SH3 (96MHz の例 ) メモリ消費における比較 text data bss rodata total コンポーネント ( 最適化なし ) 3, ,436 コンポーネント ( 最適化あり ) 3, ,820 非コンポーネント 3, ,300

24 コンポーネントシステム適用工程 24 ASP 対応版を基としたので (1) コンポーネント図の組み替え以外 (4) 組上げ記述によるコンポーネント結合まで 修正作業が発生しない コンポーネント自体は ASP 版そのもの (6)TECS ジェネレータにより (9) ヘッダ (10) インタフェースコードが自動で出力される (11) セルタイプコードを SSP に併せて実装する ASP の仕様にのみ存在する機能の関数コードを削除する ASP のみに使用するコードを (13) リンカの対象から除外する コンポーネント図 ( 組み替え ) 以外は C 言語のコンポーネントコード実装と リンク対象の変更のみ ソフトウェアのアーキテクチャ設計を コーディング工程まで揺らぎなく引き継いでいるから簡略化可能である コンポーネント仕様開発者 (2) シグニチャ記述 ( インタフェースの定義 )(3) セルタイプ記述 ( コンポーネントの定義 ) (4) 組上げ記述 ( コンポーネントの構成の定義 ) (5) TECS CDL( コンポーネント記述言語 ) (8) テンプレートコード (11) セルタイプコード ( コンポーネントのソースコード ) 設計 コンポーネント開発者 仕様の規定 (6) TECS ジェネレータ (9) ヘッダ (10) インタフェースコード (12)C コンパイラ (13) リンカ (14) アプリケーションモジュール 製品 アプリケーション開発者 設計 (1) コンポーネント図 利用 (7) プラグイン 設計 プラグイン開発者 エンドユーザー RPC アクセス制御 トレース

25 適用における考察 25 コンポーネントの適用における考察 文章による設計内容には多分に曖昧さを含んでいる 文章でもガイドワードなど表記ルールを適用することで曖昧さ抑制できるが? 形式化した記述を制約に課しているのと同じ ( ある種のプログラム言語 ) 人間の読解の手間が必要 読解の過程でミスの混入リスクがある 下位工程は文章から想像力を働かせて元の設計を復元する努力をしていた コンポーネントシステムは曖昧性の排除を行っている 文章による補完では ソフトウェア構造設計を方式設計工程で行うのではなく 実はより下位の工程で行うのと同義 完全一致する事の方が難しい アーキテクトの最終イメージ 構造一致 文章曖昧さを含む ドキュメント記述 アーキテクト ダイアグラム記述 ソフトウェア構造設計 詳細設計担当プログラマ コンポーネントシステム

26 適用結果 26 人間によるプログラム記述量の減尐 コンポーネントアーキテクチャの記述が丌要 コンポーネント間のグルーコードまで自動生成 コーディング工程ではコンポーネント内のローカル関数や シグニチャで定義した関数の実装に専念可能 記述量非依存部依存部グルー CDL total コンポーネント 非コンポーネント ASP カーネルの計数値

27 開発工程における有効性 27 開発工程で俯瞰してみると コンポーネント結合コンポーネント記述統一された結合規則 出典 :SEC 組込みソフトウェア向け開発プロセスガイド マクロスイッチ条件分岐コメントによる設計情報 ( 曖昧さを含む ) コンポーネント結合はソフトウェア設計に影響 詳細設計あるいは実装工程で補完を行っていた構造設計を方式設計工程へ戻す 数段階抽象化している ソフトウェアアーキテクトの意識との乖離を抑止する

28 ソフトウェア構造の俯瞰における有効性 開発ターゲットの違い バリエーションの検討 例えば機能性は同じで性能が異なる部品を実装する 28 #ifdef Target1 Switch (Target ) { case Target1: baudrate = 9600; #else テキストから図へ 解析性の向上更なるメリットとして省 ROM/RAM 化処理時間の最適化 ttask InitTask ttask MainTask ttask InitTask ttask Task ttask MainTask tsample1 tcyclichan tkernel Sample1 dler SSPKernel CyclicHan dler tsample1 Sample1 C 言語のマクロや条件分岐を使った実装の場合 判定条件の数値の理解が必要になる ( ドキュメントやコメントを読む ) 出現箇所が多種多数に及ぶ場合の解析性低下は著しい ttask Task tcyclichan dler CyclicHan dler tserial Serial1 tserial Serial2 tkernel SSPKernel cell tserial Serial1 { baudrate = 9600; channel = 1; }; cell tserial Serial2 { baudrate = 4800; channel = 2; }; コンポーネント図 記述から対象ソースコードは一意に特定 条件分岐の抑制や余剰コードの排除で ROM/RAM の節約や 処理時間の最適化というメリットを享受することもできる

29 ソフトウェア構造の俯瞰における有効性 フィーチャの違い プロダクトライン的構想の検討 例えば仕向けの違いで必要な部品 ( 構成 ) を切り替える 29 Switch (Target ) { case Target1: SendSerial(); break; case Target2: SendCAN(); テキストから図へ 構成の一意の特定視覚化による理解性向上 ttask InitTask ttask MainTask ttask InitTask ttask Task ttask MainTask tsample1 tcyclichan tkernel Sample1 dler SSPKernel CyclicHan dler tsample1 Sample1 ソースコード内に全ての機能を残しておくアプローチでは ttask Task tcyclichan dler CyclicHan dler tserial Serial1 tcan CAN1 tkernel SSPKernel cell tserial Serial1 { baudrate = 9600; channel = 1; }; cell tcan CAN1 { baudrate = BD5K; channel = 2; }; 場合によっては余剰コード自体を取り去ることで品質要件に変化が出る事がリスクとなってしまう ハード拡充に始まるデメリットが顕在化 コンポーネントシステムは図から結合情報を自動で補完する コンポーネント図からコンポーネント記述へ統一された規則によって完全に書き下せるからこそ可能となる

30 30 E.C. R&D Dept. まとめ 今後の取り組み

31 まとめ 条件分岐やマクロによる実行コードの切り替えを用いたバリーエーションを実現するような複雑なソフトウェア構造を 解析性に貢献しつつ管理する手法を示した 31 機能の異なるソフトウェア部品の組み合わせによるフィーチャやプロダクトライン対象部品の管理手法を示した C 言語を用いた組込みソフトウェア開発において ソフトウェアモデルからソースコードを自動生成する手法を用いて アーキテクチャ設計を上位工程へ抽象化する具体的な方法を示した

32 今後の取り組み 32 形式記述との連携 先に紹介したプラグイン機構を用いて 形式記述の仕組みと連携する試み ハードウェア / ソフトウェア間の協調設計 FPGA などの再構成可能なハードウェアと ソフトウェアとの役割分担を制御する試み 互換性を確保した記述の拡張 上下位互換性の検討 バイナリ流通や動的結合に対応する備えとして

33 参考文献 OMG: CORBA Component Model 4.0, 2. Microsoft Corporation:.NET Framework Conceptual Overview, 3. ORACLE: Enterprise JavaBeans Technology, 4. NPO 法人 TOPPERSプロジェクト : TOPPERS/SSPカーネル, 5. NPO 法人 TOPPERSプロジェクト : TOPPERS/ASPカーネル, 6. OMG: Unified Modeling Language (UML),

34 34 E.C. R&D Dept. ありがとうございました 発表にあたり協力頂いた TOPPERS TECS-WGの皆さまに感謝致します

PowerPoint Presentation

PowerPoint Presentation TECS 対応版 TOPPERS/ASP カーネルについて 名古屋 学 安積卓也 2009/11/19 TOPPERS プロジェクト 1 次 TECS 簡易パッケージ構造 コンポーネント記述 ASP+TECS コンポーネント版のsample1.c(ASP) の実 例 カーネルオブジェクト ログタスク & シリアルドライバの例 2009/11/19 TOPPERS プロジェクト 2 TECS 簡易パッケージ構造

More information

TECSをサポートする構造設計ツール ZIPC Toy!

TECSをサポートする構造設計ツール  ZIPC Toy! ET2009 TOPPERS セッション C-9 TECS をサポートするコンポーネント設計ツール ZIPC Toy! と活用法 キャッツ株式会社ソフトウェア事業部今井良和 2009/11/9 CATS co., ltd., TOPPERS プロジェクト 1 目次 TECSについて コンポーネント記述言語 CDL ツールチェーン ZIPC Toy! : コンポーネント設計 ZIPC : コンポーネントの振る舞い設計

More information

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx

Microsoft PowerPoint - ●SWIM_ _INET掲載用.pptx シーケンスに基づく検索モデルの検索精度について 東京工芸大学工学部コンピュータ応用学科宇田川佳久 (1/3) (2/3) 要員数 情報システム開発のイメージソースコード検索機能 他人が作ったプログラムを保守する必要がある 実務面での応用 1 バグあるいは脆弱なコードを探す ( 品質の高いシステムを開発する ) 2 プログラム理解を支援する ( 第 3 者が書いたコードを保守する ) 要件定義外部設計内部設計

More information

Microsoft Word 基_シラバス.doc

Microsoft Word 基_シラバス.doc 4-5- 基 Web アプリケーション開発に関する知識 1 4-5- 基 Web アプリケーション開発に関する知識 スクリプト言語や Java 言語を利用して Ruby on Rails やその他 Web フレームワークを活用して HTML(4, 5) XHTML JavaScript DOM CSS といったマークアップ言語およびスクリプト言語を活用しながら Ⅰ. 概要ダイナミックなWebサービスを提供するアプリケーションを開発する際に

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 5 月 Java 基礎 1 タイトル Java 基礎 2 日間 概要 目的 サーバサイドのプログラミング言語で最もシェアの高い Java SE の基本を習得します 当研修ではひとつの技術ごとに実用的なアプリケーションを作成するため 効果的な学習ができます Java SE の多くの API の中で 仕事でよく利用するものを中心に効率よく学びます 実際の業務で最も利用される開発環境である Eclipse

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

Microsoft PowerPoint - se05-ER&OOAD&UML.ppt [互換モード]

Microsoft PowerPoint - se05-ER&OOAD&UML.ppt [互換モード] ソフトウェア工学 05: 理工学部経営システム工学科庄司裕子 今回のテーマ 2 開発プロセスにおける位置づけ 要求分析 分析 要求定義 システム設計 プログラム設計 ウォーターフォール型開発モデル T 反復の 1 サイクル R D C T 設計 コーディング テスト 反復型開発モデル R 運用 保守 3 4 適用範囲 設計 特にデータベース設計 OOAD およびその発展形の UML 分析 / 設計フェーズ全般

More information

デザインパターン第一章「生成《

デザインパターン第一章「生成《 変化に強いプログラミング ~ デザインパターン第一章 生成 ~ 梅林 ( 高田明宏 )@ わんくま同盟 デザインパターンとは何か (1) デザインパターンの定義 ソフトウェア開発におけるデザインパターンとは 過去のソフトウェア設計者が発見し編み出した設計ノウハウを蓄積し 名前をつけ 再利用しやすいように特定の規約に従ってカタログ化したもの (Wikipedia) 参考書籍 オブジェクト指向における再利用のためのデザインパターン

More information

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt

Microsoft PowerPoint - A3② JaSST_MISRA2004ソースコード品質診断.ppt ISO/IEC9126 & MISRA-C:2004 ベースソースコード品質診断 ~ MISRA-C:2004 ベース品質診断のご紹介 ~ 株式会社東陽テクニカソフトウェア ソリューション MISRA とは Motor Industry Software Reliability Association の略 ヨーロッパ自動車技術会 (MIRA) の下部組織 MIRA: Motor Industry

More information

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用

2. 目的 1RationalRose を利用する場合にプログラム仕様書としての最低限必要な記述項目を明確にする 2 プログラム仕様書として記載内容に不足がない事をチェックする 3UML の知識があるものであれば 仕様書の内容を理解できること 4Rose にて入力した内容を SoDaWord を利用 プログラム仕様書 (UML 表記法 ) ガイドライン 本仕様書に UML(Rational Rose 使用 ) を用いてプログラム仕様書を作成する際のガイドラインを記す 1. ドキュメントの様式について 1 ドキュメントは制御単位で作成する 2 表紙 及び変更履歴は SWS にて指定されたものを付加すること 3 下記の目次内で指定している UML 図 記述項目は必須項目とする 4SoDa にてドキュメントを出力する場合は

More information

MMUなしプロセッサ用Linuxの共有ライブラリ機構

MMUなしプロセッサ用Linuxの共有ライブラリ機構 MMU なしプロセッサ用 Linux の共有ライブラリ機構 大谷浩司 高岡正 近藤政雄 臼田尚志株式会社アックス はじめに μclinux には 仮想メモリ機構がないので共有ライブラリ機構が使えない でもメモリ消費抑制 ストレージ消費抑制 保守性の向上のためには 欲しい 幾つかの実装があるが CPU ライセンス 機能の制限のためにそのまま利用できない RidgeRun 社 (Cadenux 社 )

More information

(Microsoft PowerPoint - Java\221\3462\225\224\211\357\224\255\225\\\216\221\227\ ppt)

(Microsoft PowerPoint - Java\221\3462\225\224\211\357\224\255\225\\\216\221\227\ ppt) システム開発における 生産性の検証 平成 19 年度 OISA 技術研究会 JAVA 第 2 部会 1 2008.02.19 目次 1. 部員紹介 2. 生産性向上に向けて 3.Seasar2 4. テストプログラムによる検証 5. 考察 6. まとめ 2 1. 部員紹介 3 部員紹介 葛城啓之 ( 株式会社オーイーシー ) 工藤寿彦 ( 九州東芝エンシ ニアリンク 株式会社 ) 白石和稔 ( 大銀コンヒ

More information

V8.1新規機能紹介記事

V8.1新規機能紹介記事 WebOTX V8.1 新規機能 EJB 3.0 WebOTX V8.1より Java EE 5(Java Platform, Enterprise Edition 5) に対応しました これによりいろいろな機能追加が行われていますが 特に大きな変更であるEJB 3.0 対応についてご紹介いたします なお WebOTX V7で対応したEJB 2.1についてもWebOTX V8.1で引き続き利用することが可能です

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

press-1005.OHP

press-1005.OHP TOPPERS プロジェクト合同プレス発表会 TOPPERS プロジェクトの最新状況と ET ロボコンへのプラットフォーム提供 2010 年 5 月 12 日 高田広章 NPO 法人 TOPPERS プロジェクト会長名古屋大学大学院情報科学研究科教授附属組込みシステム研究センター長 Email: hiro@ertl.jp URL: http://www.ertl.jp/~hiro/ TOPPERS

More information

ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞

ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞 ホンダにおける RT ミドルウェア開発と標準化活動 株式会社本田技術研究所基礎技術研究センター関谷眞 目次 知能ロボットシステム概要 コンポーネント指向ミドルウェア HRTMの開発 ASIMOへの適用 HRTMとOpenRTM-aistの連携動作 標準化活動 知能ロボットシステム概要 センサーやアクチュエーターは追加や変更される システム構成は変更したくない センサー, アクチュエーターの関係を抽象化した

More information

Microsoft PowerPoint - UML1_2009.ppt

Microsoft PowerPoint - UML1_2009.ppt モデリングとモデル UMLとは UMLの主要モデル UML1.4 UML2.1 UML の概要 モデリングとモデル モデリング 実世界の事柄を別の物体で表現すること モデルを作成すること プログラミング 処理をプログラム言語という手段で表現 オブジェクト指向 データ構造をオブジェクトの属性 処理を振る舞いとしてモデリング モデル ある視点から見たシステムの抽象的な表現 ダイアグラム ( 図 ) により表現

More information

Oracle SQL Developer Data Modeler

Oracle SQL Developer Data Modeler Oracle SQL Developer Data Modeler テクニカル レビュー - 2009 年 6 月 アジェンダ テクニカル レビューおよび機能レビュー 開発者の生産性に重点 Oracle SQL Developer Data Modeler の概要 対象 テクノロジー 機能のレビュー パッケージの更新 Oracle SQL Developer

More information

Prog2_12th

Prog2_12th 2018 年 12 月 13 日 ( 木 ) 実施クラスの継承オブジェクト指向プログラミングの基本的な属性として, 親クラスのメンバを再利用, 拡張, または変更する子クラスを定義することが出来る メンバの再利用を継承と呼び, 継承元となるクラスを基底クラスと呼ぶ また, 基底クラスのメンバを継承するクラスを, 派生クラスと呼ぶ なお, メンバの中でコンストラクタは継承されない C# 言語では,Java

More information

各種パスワードについて マイナンバー管理票では 3 種のパスワードを使用します (1) 読み取りパスワード Excel 機能の読み取りパスワードです 任意に設定可能です (2) 管理者パスワード マイナンバー管理表 の管理者のパスワードです 管理者パスワード はパスワードの流出を防ぐ目的で この操作

各種パスワードについて マイナンバー管理票では 3 種のパスワードを使用します (1) 読み取りパスワード Excel 機能の読み取りパスワードです 任意に設定可能です (2) 管理者パスワード マイナンバー管理表 の管理者のパスワードです 管理者パスワード はパスワードの流出を防ぐ目的で この操作 マイナンバー管理表 操作説明書 管理者用 2015 年 11 月 30 日 ( 初版 ) 概要 マイナンバー管理表 の動作環境は以下の通りです 対象 OS バージョン Windows7 Windows8 Windows8.1 Windows10 対象 Excel バージョン Excel2010 Excel2013 対象ファイル形式 Microsoft Excel マクロ有効ワークシート (.xlsm)

More information

Microsoft PowerPoint - se06-UML(UseCase)_2.ppt [互換モード]

Microsoft PowerPoint - se06-UML(UseCase)_2.ppt [互換モード] ソフトウェア工学 06: UML モデリング (Ⅰ) ユースケースモデリングとユースケース駆動型開発 理工学部経営システム工学科庄司裕子 前回の復習 : 考えてみよう! 個人表に 番号 氏名 クラス名という個人情報と 番号 科目名 ( ) という情報が記載されているとする これをERモデリングして ER 図を書いてみようヒント : クラス という独立エンティティ ( もの を表す) と 所属 という依存エンティティ

More information

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換)

SpeC記述のC記述への変換 (SpecCによるソフトウェア記述の実装記述への変換) TOPPERS プロジェクトプレス発表 2009 年 4 月 23 日 TOPPERS/FMP カーネル TraceLogVisualizer(TLV) 本田晋也 名古屋大学大学院情報科学研究科附属組込みシステム研究センター (NCES) 助教 honda@ertl.jp 1 TOPPERS/FMP カーネル 2 組込みシステムにおけるマルチプロセッサの利用 大きく二つの理由により利用が進んでいる

More information

CodeRecorderでカバレッジ

CodeRecorderでカバレッジ 株式会社コンピューテックス Copyright 2016 Computex Co.,Ltd. 2017.11 カバレッジ と 単体テスト カバレッジとは プログラムがどれだけ実行されているかを示す指標です プログラム全体に対して実行された比率をカバレッジ率で表します カバレッジの基準として 一般的にC0 C1が使われております C0カバレッジは 全体のうち何 % が実行されたかで求めます C1カバレッジは

More information

コードテンプレートフレームワーク 機能ガイド 基礎編

コードテンプレートフレームワーク 機能ガイド 基礎編 Code Template Framework Guide by SparxSystems Japan Enterprise Architect 日本語版コードテンプレートフレームワーク機能ガイド基礎編 (2018/05/16 最終更新 ) 1. はじめに Enterprise Architect には コードテンプレートフレームワーク ( 以下 CTF と表記します ) と呼ばれる機能が搭載されています

More information

プレポスト【問題】

プレポスト【問題】 コース名 : サーブレット /JSP/JDBC プログラミング ~Eclipse による開発 ~ 受講日 氏名 1 JDBC の説明として 間違っているものを 1 つ選びなさい 1. JDBC を使用してデータベースへアクセスするときには JDBC API が必要である 2. JDBC API は java.lang パッケージとして提供されている 3. JDBC には JDBC API JDBC

More information

Using VectorCAST/C++ with Test Driven Development

Using VectorCAST/C++ with Test Driven Development ホワイトペーパー V2.0 2018-01 目次 1 はじめに...3 2 従来型のソフトウェア開発...3 3 テスト主導型開発...4 4...5 5 TDD を可能にするテストオートメーションツールの主要機能...5 5.1 テストケースとソースコード間のトレーサビリティー...5 5.2 テストケースと要件間のトレーサビリティー...6 6 テスト主導型開発の例...7 2 1 はじめに 本書では

More information

大規模災害等に備えたバックアップや通信回線の考慮 庁舎内への保存等の構成について示すこと 1.5. 事業継続 事業者もしくは構成企業 製品製造元等の破綻等により サービスの継続が困難となった場合において それぞれのパターン毎に 具体的な対策を示すこと 事業者の破綻時には第三者へサービスの提供を引き継

大規模災害等に備えたバックアップや通信回線の考慮 庁舎内への保存等の構成について示すこと 1.5. 事業継続 事業者もしくは構成企業 製品製造元等の破綻等により サービスの継続が困難となった場合において それぞれのパターン毎に 具体的な対策を示すこと 事業者の破綻時には第三者へサービスの提供を引き継 企画提案書記載項目 企画提案書の作成にあたって 以下に示す各章 項の構成に則って作成すること 注意事項 各章 項毎に要件定義書 基本事項編 で示す 関連する仕様を満たすこと及び提案要求内容を含め提案を行うこと 全ての提案項目への記入は必須のものであり 記入のない項目については0 点として採点するため十分留意すること 企画提案書に記載する内容は全て本業務における実施義務事項として事業者が提示し かつ提案価格内で契約する前提になるものであることに留意すること

More information

目次 ペトリネットの概要 適用事例

目次 ペトリネットの概要 適用事例 ペトリネットを利用した状態遷移テスト 和田浩一 東京エレクトロン SDC FA グループ 目次 ペトリネットの概要 適用事例 ペトリネットの概要 - ペトリネットとは ペトリネット (Petri Net) とは カール アダム ペトリが 1962 年に発表した離散分散システムを数学的に表現する手法である 視覚的で 数学的な離散事象システムをモデル化するツールの一つである ペトリネットの概要 - ペトリネットの表記と挙動

More information

Microsoft PowerPoint - 04_01_text_UML_03-Sequence-Com.ppt

Microsoft PowerPoint - 04_01_text_UML_03-Sequence-Com.ppt システム設計 (1) シーケンス図 コミュニケーション図等 1 今日の演習のねらい 2 今日の演習のねらい 情報システムを構成するオブジェクトの考え方を理解す る 業務プロセスでのオブジェクトの相互作用を考える シーケンス図 コミュニケーション図を作成する 前回までの講義システム開発の上流工程として 要求仕様を確定パソコンを注文するまでのユースケースユースケースから画面の検討イベントフロー アクティビティ図

More information

5-3- 応統合開発環境に関する知識 1 独立行政法人情報処理推進機構

5-3- 応統合開発環境に関する知識 1 独立行政法人情報処理推進機構 5-3- 応統合開発環境に関する知識 1 5-3- 応統合開発環境に関する知識 統合開発環境と バグ管理ツール ビルドツールなど様々な開発ツールとの連携や MVCフレームワークなどの Javaフレームワークとの連 Ⅰ. 概要携 C 言語やスクリプト言語など Java 以外の言語での利用方法について学ぶ Ⅱ. 対象専門分野職種共通 Ⅲ. 受講対象者 本カリキュラムの 5-3- 基統合開発環境に関する知識

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Microsoft PowerPoint Java基本技術PrintOut.ppt [互換モード]

Microsoft PowerPoint Java基本技術PrintOut.ppt [互換モード] 第 3 回 Java 基本技術講義 クラス構造と生成 33 クラスの概念 前回の基本文法でも少し出てきたが, オブジェクト指向プログラミングは という概念をうまく活用した手法である. C 言語で言う関数に似ている オブジェクト指向プログラミングはこれら状態と振る舞いを持つオブジェクトの概念をソフトウェア開発の中に適用し 様々な機能を実現する クラス= = いろんなプログラムで使いまわせる 34 クラスの概念

More information

MicrosoftVisualStudio.NET による ASP.NETWeb アプリケーションの開発 (#2334)(MP301)5 日間 () コースの概要 このコースでは VisualBasic.NETまたは C# プログラマで Web 開発の初心者が ASP.NETを使用して Web アプ

MicrosoftVisualStudio.NET による ASP.NETWeb アプリケーションの開発 (#2334)(MP301)5 日間 () コースの概要 このコースでは VisualBasic.NETまたは C# プログラマで Web 開発の初心者が ASP.NETを使用して Web アプ () コースの概要 このコースでは VisualBasic.NETまたは C# プログラマで Web 開発の初心者が ASP.NETを使用して Web アプリケーションサイトを実装する上で必要となる基本スキルを修得します 特に MicrosoftVisualStudio.NET 開発環境および Microsoft.NETプラットフォームを使用して Web サイトに動的なコンテンツを配信する ASP.NETWeb

More information

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~

.NETプログラマー早期育成ドリル ~VB編 付録 文法早見表~ .NET プログラマー早期育成ドリル VB 編 付録文法早見表 本資料は UUM01W:.NET プログラマー早期育成ドリル VB 編コードリーディング もしくは UUM02W:.NET プログラマー早期育成ドリル VB 編コードライティング を ご購入頂いた方にのみ提供される資料です 資料内容の転載はご遠慮下さい VB プログラミング文法早見表 < 基本文法 > 名前空間の定義 Namespace

More information

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド

(1) プログラムの開始場所はいつでも main( ) メソッドから始まる 順番に実行され add( a,b) が実行される これは メソッドを呼び出す ともいう (2)add( ) メソッドに実行が移る この際 add( ) メソッド呼び出し時の a と b の値がそれぞれ add( ) メソッド メソッド ( 教科書第 7 章 p.221~p.239) ここまでには文字列を表示する System.out.print() やキーボードから整数を入力する stdin.nextint() などを用いてプログラムを作成してきた これらはメソッドと呼ばれるプログラムを構成する部品である メソッドとは Java や C++ などのオブジェクト指向プログラミング言語で利用されている概念であり 他の言語での関数やサブルーチンに相当するが

More information

CLUSTERPRO MC ProcessSaver 1.2 for Windows 導入ガイド 第 4 版 2014 年 3 月 日本電気株式会社

CLUSTERPRO MC ProcessSaver 1.2 for Windows 導入ガイド 第 4 版 2014 年 3 月 日本電気株式会社 CLUSTERPRO MC ProcessSaver 1.2 for Windows 導入ガイド 第 4 版 2014 年 3 月 日本電気株式会社 目次 はじめに 本製品のねらい こんな障害が発生したら 導入効果 適用例 1 適用例 2 ProcessSaver 機能紹介 ProcessSaver とは? 消滅監視の概要 運用管理製品との連携 システム要件 製品価格 保守 / サービス関連情報 購入時のご注意

More information

CLUSTERPRO MC ProcessSaver 2.3 for Windows 導入ガイド 第 5 版 2018 年 6 月 日本電気株式会社

CLUSTERPRO MC ProcessSaver 2.3 for Windows 導入ガイド 第 5 版 2018 年 6 月 日本電気株式会社 CLUSTERPRO MC ProcessSaver 2.3 for Windows 導入ガイド 第 5 版 2018 年 6 月 日本電気株式会社 目次 はじめに 本製品のねらい こんな障害が発生したら 導入効果 適用例 1 適用例 2 ProcessSaver 機能紹介 ProcessSaver とは? 消滅監視の概要 運用管理製品との連携 システム要件 製品価格 保守 / サービス関連情報 商標

More information

Microsoft Word - Training10_プリプロセッサ.docx

Microsoft Word - Training10_プリプロセッサ.docx Training 10 プリプロセッサ 株式会社イーシーエス出版事業推進委員会 1 Lesson1 マクロ置換 Point マクロ置換を理解しよう!! マクロ置換の機能により 文字列の置き換えをすることが出来ます プログラムの可読性と保守性 ( メンテナンス性 ) を高めることができるため よく用いられます マクロ置換で値を定義しておけば マクロの値を変更するだけで 同じマクロを使用したすべての箇所が変更ができるので便利です

More information

アナリシスパターン勉強会 責任関係事例紹介 株式会社オーエスケイ小井土亨 (CBOP COM 分科会主査 ) 2000/07/19 1

アナリシスパターン勉強会 責任関係事例紹介 株式会社オーエスケイ小井土亨 (CBOP COM 分科会主査 ) 2000/07/19 1 アナリシスパターン勉強会 責任関係事例紹介 株式会社オーエスケイ小井土亨 (CBOP COM 分科会主査 ) 2000/07/19 1 Agenda システム開発概要 事例説明 システム要件 ( 画面イメージ ) 組織型データ管理フレームワーク詳細 人事情報管理システム詳細 フレームワーク利用カタログ 略語説明 FW フレームワーク CS カスタマイズシステム ( 実行可能な具体システム ) IF

More information

目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い 10.Netフレームワーク 10 開発資料 10 第 2 章 Mono 11 Monoの歴史 1

目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い 10.Netフレームワーク 10 開発資料 10 第 2 章 Mono 11 Monoの歴史 1 ポリテクセンター埼玉セミナー資料 組込み技術者のための C# Monoを用いたマルチプラットフォームアプリケーション開発技術 第 1.2 版 2018 年 8 月 Microbrains Inc. 渋谷 目次 はじめに 4 概要 4 背景 4 対象 5 スケジュール 5 目標点 6 使用機材 6 第 1 章 C# 言語 7 C# 言語の歴史 7 基本構文 8 C 言語との違い 9 Java 言語との違い

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 講座準備 講座資料は次の URL から DL 可能 https://goo.gl/jnrfth 1 ポインタ講座 2017/01/06,09 fumi 2 はじめに ポインタはC 言語において理解が難しいとされる そのポインタを理解することを目的とする 講座は1 日で行うので 詳しいことは調べること 3 はじめに みなさん復習はしましたか? 4 & 演算子 & 演算子を使うと 変数のアドレスが得られる

More information

Source Insight

Source Insight ソースインサイト プログラムエディタ Source Insight のご紹介 ソースを理解しながら 効率の良いコーディング エクセルソフト株式会社営業部 エクセルソフト株式会社 Copyright 2008 XLsoft K.K. All Rights Reserved. - 1 - 目次 プログラムエディタ Source Insight のご紹介 ソースを理解しながら 効率の良いコーディング 目次

More information

要求仕様管理テンプレート仕様書

要求仕様管理テンプレート仕様書 目次 1. テンプレート利用の前提... 2 1.1 対象... 2 1.2 役割... 2 1.3 プロセス... 2 1.4 ステータス... 3 2. テンプレートの項目... 4 2.1 入力項目... 4 2.2 入力方法および属性... 5 2.3 他の属性... 6 3. トラッキングユニットの設定... 7 3.1 メール送信一覧... 7 3.1.1 起票... 7 3.1.2 作成中...

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for

RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for RTC_STM32F4 の説明 2013/10/20 STM32F4 内蔵 RTC の日付 時刻の設定および読み込みを行うプログラムです UART2( 非同期シリアル通信ポート 2) を使用して RTC の設定および読み込みを行います 無料の開発ツール Atollic TrueSTUDIO for ARM Lite 4.2.0 で作成した STM32F4 Discovery 基板用のプロジェクトです

More information

Oracle Business Rules

Oracle Business Rules Oracle Business Rules Manoj Das(manoj.das@oracle.com) Product Management, Oracle Integration 3 Oracle Business Rules について Oracle Business Rules とはビジネスの重要な決定と方針 ビジネスの方針 実行方針 承認基盤など 制約 有効な設定 規制要件など 計算 割引

More information

Javaの作成の前に

Javaの作成の前に メディアプロジェクト演習 1 参考資料 Javaとは JavaScript と Java 言語の違い オブジェクト指向 コンストラクタ サーブレット 本資料内のページ番号は, 以下の参考書のページを引用している 高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに プログラミング言語とは? オブジェクト指向とは? Java 言語とは? JavaとJavaScriptの違いとは?

More information

NEXCESS基礎コース01 組込みソフトウェア開発技術の基礎 ソフトウェア開発プロセス編

NEXCESS基礎コース01 組込みソフトウェア開発技術の基礎 ソフトウェア開発プロセス編 JaSST 12 Tokai SIG テストエンジニアだからこそ気を付けるテスト仕様書と報告書の書き方 2012 年 11 月 30 日 山本雅基 (ASDoQ/ 名古屋大学 ) E-mail: myamamoto@nces.is.nagoya-u.ac.jp 1 トイレは いつ行ってもいい 気楽に 自己紹介 16:10-16:20 お話 16:20-16:40 個人作業 16:40-16:55 グループ作業

More information

第 2 章インタフェース定義言語 (IDL) IDL とは 言語や OS に依存しないインタフェース定義を行うためのインタフェース定義言語です CORBA アプリケーションを作成する場合は インタフェースを定義した IDL ファイルを作成する必要があります ここでは IDL の文法や IDL ファイ

第 2 章インタフェース定義言語 (IDL) IDL とは 言語や OS に依存しないインタフェース定義を行うためのインタフェース定義言語です CORBA アプリケーションを作成する場合は インタフェースを定義した IDL ファイルを作成する必要があります ここでは IDL の文法や IDL ファイ 第 2 章インタフェース定義言語 (IDL) IDL とは 言語や OS に依存しないインタフェース定義を行うためのインタフェース定義言語です CORBA アプリケーションを作成する場合は インタフェースを定義した IDL ファイルを作成する必要があります ここでは IDL の文法や IDL ファイルの作成方法 コンパイル方法について説明します IDL ファイルの作成にあたっては INTERSTAGE

More information

UMLプロファイル 機能ガイド

UMLプロファイル 機能ガイド UML Profile guide by SparxSystems Japan Enterprise Architect 日本語版 UML プロファイル機能ガイド (2016/10/07 最終更新 ) 1. はじめに UML では ステレオタイプを利用することで既存の要素に意味を追加し 拡張して利用することができます このステレオタイプは個々の要素に対して個別に指定することもできますが ステレオタイプの意味と適用する

More information

Microsoft Word - ModelAnalys操作マニュアル_

Microsoft Word - ModelAnalys操作マニュアル_ モデル分析アドイン操作マニュアル Ver.0.5.0 205/0/05 株式会社グローバルアシスト 目次 概要... 3. ツール概要... 3.2 対象... 3 2 インストールと設定... 4 2. モデル分析アドインのインストール... 4 2.2 モデル分析アドイン画面の起動... 6 3 モデル分析機能... 7 3. 要求分析機能... 7 3.. ID について... 0 3.2 要求ツリー抽出機能...

More information

Microsoft PowerPoint - 計算機言語 第7回.ppt

Microsoft PowerPoint - 計算機言語 第7回.ppt 計算機言語第 7 回 長宗高樹 目的 関数について理解する. 入力 X 関数 f 出力 Y Y=f(X) 関数の例 関数の型 #include int tasu(int a, int b); main(void) int x1, x2, y; x1 = 2; x2 = 3; y = tasu(x1,x2); 実引数 printf( %d + %d = %d, x1, x2, y);

More information

Microsoft PowerPoint - 配布用資料.ppt

Microsoft PowerPoint - 配布用資料.ppt ソフトウェア設計プロセスの改革 オブジェクト指向導入による 生産性の向上 SEIKO EPSON CORPORATION BS 事業部 2006 6 28 開発対象製品の紹介 セイコーエプソン株式会社 BS 事業部 BS 事業推進部 TM( ターミナルモジュール ) のファームウェア開発 ( レシートプリンタ ラベルプリンタの開発 ) 業務用小型プリンタのファームウェア開発 レシート ラベル チェック

More information

Fortran 勉強会 第 5 回 辻野智紀

Fortran 勉強会 第 5 回 辻野智紀 Fortran 勉強会 第 5 回 辻野智紀 今回のお品書き サブルーチンの分割コンパイル ライブラリ 静的ライブラリ 動的ライブラリ モジュール その前に 以下の URL から STPK ライブラリをインストールしておいて下さい. http://www.gfd-dennou.org/library/davis/stpk 前回参加された方はインストール済みのはず. サブルーチンの分割コンパイル サブルーチンの独立化

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

Microsoft PowerPoint - CproNt02.ppt [互換モード]

Microsoft PowerPoint - CproNt02.ppt [互換モード] 第 2 章 C プログラムの書き方 CPro:02-01 概要 C プログラムの構成要素は関数 ( プログラム = 関数の集まり ) 関数は, ヘッダと本体からなる 使用する関数は, プログラムの先頭 ( 厳密には, 使用場所より前 ) で型宣言 ( プロトタイプ宣言 ) する 関数は仮引数を用いることができる ( なくてもよい ) 関数には戻り値がある ( なくてもよい void 型 ) コメント

More information

プログラミング基礎

プログラミング基礎 C プログラミング Ⅰ 授業ガイダンス C 言語の概要プログラム作成 実行方法 授業内容について 授業目的 C 言語によるプログラミングの基礎を学ぶこと 学習内容 C 言語の基礎的な文法 入出力, 変数, 演算, 条件分岐, 繰り返し, 配列,( 関数 ) C 言語による簡単な計算処理プログラムの開発 到達目標 C 言語の基礎的な文法を理解する 簡単な計算処理プログラムを作成できるようにする 授業ガイダンス

More information

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx

Microsoft PowerPoint - IAF フォーラム2015講演資料_PLCopenJapan_A02.pptx PLCopen / OPC UA 通信仕様と PLCopen XML の紹介 ~PLC プログラムの生産システムエンジニアリング連携に向けて ~ Industrial Automation Forum - Forum 2015 PLCopen Japan Page 1 PLCopen の主な取り組み PLCopen は IEC 61131-3 プログラミングの普及促進と関連技術仕様の策定を う 営利団体

More information

Undestand の解析 Understand の C 言語で抽出できない依存関係について サンプルコードを用いて説明します 確認バージョン Understand 3.0 (Build 640) Understand 3.1 (Build 700) Understand 4.0 (Build 78

Undestand の解析 Understand の C 言語で抽出できない依存関係について サンプルコードを用いて説明します 確認バージョン Understand 3.0 (Build 640) Understand 3.1 (Build 700) Understand 4.0 (Build 78 Undestand の解析 Understand の C 言語で抽出できない依存関係について サンプルコードを用いて説明します 確認バージョン Understand 3.0 (Build 640) Understand 3.1 (Build 700) Understand 4.0 (Build 788) 抽出できない依存関係 Understand の C 言語の解析 (Fuzzy/Strict) で

More information

メディプロ1 Javaプログラミング補足資料.ppt

メディプロ1 Javaプログラミング補足資料.ppt メディアプロジェクト演習 1 Javaプログラミング補足資料 l Javaとは l JavaScript と Java 言語の違い l オブジェクト指向 l コンストラクタ l 継承 抽象クラス 本資料内のページ番号は, 以下の参考書のページを引用している高橋麻奈 : やさしい Java, ソフトバンククリエイティブ (2,625 円 ) はじめに l プログラミング言語とは? l オブジェクト指向とは?

More information

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな

- VHDL 演習 ( 組み合せ論理回路 ) 回路 半加算器 (half adder,fig.-) 全加算器を構成する要素である半加算器を作成する i) リスト - のコードを理解してから, コンパイル, ダウンロードする ii) 実験基板上のスイッチ W, が, の入力,LED, が, の出力とな 第 回 VHDL 演習組み合せ論理回路 VHDL に関する演習を行う 今回は, 組み合せ論理回路の記述について学ぶ - 論理回路の VHDL 記述の基本 同時処理文を並べることで記述できる 部品の接続関係を記述 順番は関係ない process 文の内部では, 順次処理文を使う process 文 つで, つの同時処理文になる順次処理文は, 回路の動作を 逐次処理的 に ( 手続き処理型プログラム言語のように

More information

1 現コンフィギュレータの問題点 1.1 独自言語 TOPPERS カーネル開発者 ( 特に TOPPERS カーネルのポーティングを行う者 ) は 現コンフィギュレータが提供する tf を使用する必要がある しかし tf は TOPPERS の独自言語であり 初めて TOPPERS カーネルを開発

1 現コンフィギュレータの問題点 1.1 独自言語 TOPPERS カーネル開発者 ( 特に TOPPERS カーネルのポーティングを行う者 ) は 現コンフィギュレータが提供する tf を使用する必要がある しかし tf は TOPPERS の独自言語であり 初めて TOPPERS カーネルを開発 TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門 アプリケーション開発部門 作品のタイトル : Ruby 版 TOPPERS コンフィギュレータ 作成者 : 富士ソフト株式会社 ( 代表 : 鴫原一人 ) 対象者 : TOPPERS ソフトウェア開発者 ( 特にポーティングを行う方 ) 使用する開発成果物 : ASP カーネル R1.9.0 (Skyeye

More information

株式会社アルウィン C 言語コーディング規約 ver.0.1

株式会社アルウィン C 言語コーディング規約 ver.0.1 C 言語コーディング規約 ver.0.1 1. はじめに本コーディング規約は ( 株 ) アルウィン社内で作成する C 言語ソースコードの可読性 メンテナンス性の向上 丌具合の混入を防ぎやすくするための記述方法及び 推奨する記述方法を記述した文書である 2. 目的 本コーディング規約は ソースコードの可読性 メンテナンス性の向上 丌具合の混入 を可能な限り防ぎ 品質の高いソースコードを作成する一助とすることを目的とする

More information

TRQerS - Introduction

TRQerS - Introduction TRQerS 導入概要 横河ディジタルコンピュータ株式会社エンベデッドソリューション事業本部サポート部 (ESC-APN-035-02 Dec,25,2015) 1 システムマクロトレースの特徴 製品構成と導入フロー 2 システムマクロトレースの特徴 システムマクロトレース printf ログ出力の発展形 (printf より高速 文字列 / タグ情報 / タスク遷移 / 関数遷移 ) ハードウェアインターフェース

More information

040402.ユニットテスト

040402.ユニットテスト 2. ユニットテスト ユニットテスト ( 単体テスト ) ユニットテストとはユニットテストはプログラムの最小単位であるモジュールの品質をテストすることであり その目的は結合テスト前にモジュール内のエラーを発見することである テストは機能テストと構造テストの2つの観点から行う モジュールはプログラムを構成する要素であるから 単体では動作しない ドライバとスタブというテスト支援ツールを使用してテストを行う

More information

UML は次のように表記を拡張して 利用しやすくすることができる ステレオタイプ クラス図などで モデル要素の意味を拡張するもの ギルメット << >> によるラベル表記と アイコン表記がある <<actor>> <<interface>> ステレオタイプ一覧 UML 表記の拡張 ATM 利用者 ス

UML は次のように表記を拡張して 利用しやすくすることができる ステレオタイプ クラス図などで モデル要素の意味を拡張するもの ギルメット << >> によるラベル表記と アイコン表記がある <<actor>> <<interface>> ステレオタイプ一覧 UML 表記の拡張 ATM 利用者 ス 以降のページは HP で公開しているため 書き写し不要 UML の各図 ダイアグラム役割開発フェーズ図 ユースケース図 システムの要件定義アクターとシステム また外部システムとの関係を明記 分析 ( 要件定義 ) クラス図 システムの静的な部分の設計図 オブジェクト図 クラス図から作られるオブジェクト ( インスタンス ) の具体的な構成図 パッケージ図 パッケージの階層関係と依存関係を明記 ( パッケージ

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 一般社団法人インダストリアル バリューチェーン イニシアティブ IVI モデラーベーシック版 操作マニュアル 1.0 2018.7.10 ライセンスについて IVI モデラーベーシック版 ( 以下 本ソフトウェアと記す ) は IVI 会員 ( 会員企業の構成員を含む ) は 以下の条件のもとで 営利目的を含む利用が無償でできます 本ソフトウェアは 無保証です 本ソフトウェアを利用したことによる損害

More information

COBOL Standard Edition COBOL SQL アクセスのご紹介 2017 年 3 本電気株式会社 次 COBOL SQLアクセスとは P.4 COBOL85 SQLEXTENSIONからの移 P.10 製品情報 P.13 COBOL SQL アクセスとは 製品概要 COBOL ソース中の埋め込み SQL によるデータベースアクセスが可能に 業界標準 ODBC(Open DataBase

More information

4-4- 応スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語のうち 特に Ruby について学習し 応用的なアプリケーション開発の手法を習得する Ⅰ. 概要フレームワークによる Web アプリケーション開発やデータベース操作について学習する Ⅱ. 対象専門分野職種共通 Ⅲ.

4-4- 応スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語のうち 特に Ruby について学習し 応用的なアプリケーション開発の手法を習得する Ⅰ. 概要フレームワークによる Web アプリケーション開発やデータベース操作について学習する Ⅱ. 対象専門分野職種共通 Ⅲ. 4-4- 応スクリプト言語に関する知識 1 4-4- 応スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語のうち 特に Ruby について学習し 応用的なアプリケーション開発の手法を習得する Ⅰ. 概要フレームワークによる Web アプリケーション開発やデータベース操作について学習する Ⅱ. 対象専門分野職種共通 Ⅲ. 受講対象者 本カリキュラムの 4-4- 基スクリプト言語に関する知識

More information

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装

コンテンツセントリックネットワーク技術を用いた ストリームデータ配信システムの設計と実装 コンテンツセントリックネットワークにおけるストリームデータ配信機構の実装 川崎賢弥, 阿多信吾, 村田正幸 大阪大学大学院情報科学研究科 大阪市立大学大学院工学研究科 2 発表内容 研究背景 研究目的 ストリームデータ配信機構の設計 ストリームデータのモデル化 コンテンツの名前構造 ストリームデータの要求とフロー制御 ストリームデータ配信機構の実装 動作デモンストレーション 3 コンテンツセントリックネットワーク

More information

Prog1_10th

Prog1_10th 2012 年 6 月 20 日 ( 木 ) 実施ポインタ変数と文字列前回は, ポインタ演算が用いられる典型的な例として, ポインタ変数が 1 次元配列を指す場合を挙げたが, 特に,char 型の配列に格納された文字列に対し, ポインタ変数に配列の 0 番の要素の先頭アドレスを代入して文字列を指すことで, 配列そのものを操作するよりも便利な利用法が存在する なお, 文字列リテラルは, その文字列が格納されている領域の先頭アドレスを表すので,

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

PowerPoint Presentation

PowerPoint Presentation Armv8-M セキュアマイコンプログラミングテクニック 技術チーム / 殿下 信二 このセッションの目的 Armv8-M セキュアマイコンの使い方の基礎を学ぶ Cortex-M マイコンと Armv8-M セキュアマイコンの違い 簡単です Armv8-M セキュアマイコンプログラミング なぜセキュアマイコンが必要ですか? 製品が偽造 模造 過剰生産されるリスクの低減 IoT 製品のメリット ( コネクティビティ

More information

4 月 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プロ

4 月 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プロ 4 東京都立蔵前工業高等学校平成 30 年度教科 ( 工業 ) 科目 ( プログラミング技術 ) 年間授業計画 教科 :( 工業 ) 科目 :( プログラミング技術 ) 単位数 : 2 単位 対象学年組 :( 第 3 学年電気科 ) 教科担当者 :( 高橋寛 三枝明夫 ) 使用教科書 :( プログラミング技術 工業 333 実教出版 ) 共通 : 科目 プログラミング技術 のオリエンテーション プログラミング技術は

More information

SuperH RISC engineファミリ用 C/C++コンパイラパッケージ V.7~V.9 ご使用上のお願い

SuperH RISC engineファミリ用 C/C++コンパイラパッケージ V.7~V.9 ご使用上のお願い ツールニュース RENESAS TOOL NEWS 2014 年 02 月 01 日 : 140201/tn1 SuperH RISC engine ファミリ用 C/C++ コンパイラパッケージ V.7~V.9 ご使用上のお願い SuperH RISC engine ファミリ用 C/C++ コンパイラパッケージ V.7~V.9の使用上の注意事項 4 件を連絡します 同一ループ内の異なる配列要素に 同一の添え字を使用した場合の注意事項

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

Microsoft PowerPoint - FormsUpgrade_Tune.ppt

Microsoft PowerPoint - FormsUpgrade_Tune.ppt Forms アップグレードに関する追加作業 - 工数見積もり サイジング チューニング - 必要な追加作業 工数見積もり サイジング チューニング 2 1 C/S Web 工数見積もり 工数見積もりの際に考慮すべき事項 アップグレードによる一般的なコード修正 テスト工数 C/S では使用できるが Web では廃止された機能に対する対策 USER_EXIT を使って Windows 上 DLL のファンクションをコールしている

More information

目次 1 はじめに 利用条件 動作環境 アドインのインストール アドインの操作方法 アドインの実行 Excel CSV の出力 テンプレートの作成 編集 テンプレートのレイアウト変更 特記

目次 1 はじめに 利用条件 動作環境 アドインのインストール アドインの操作方法 アドインの実行 Excel CSV の出力 テンプレートの作成 編集 テンプレートのレイアウト変更 特記 Excel Export Add-in Manual by SparxSystems Japan Enterprise Architect 用 Excel 出力アドイン利用ガイド バージョン 1.0.0.6 (2018/09/06 更新 ) 1 目次 1 はじめに...3 2 利用条件 動作環境...3 3 アドインのインストール...3 4 アドインの操作方法...4 4.1 アドインの実行...4

More information

D5-2_S _003.pptx

D5-2_S _003.pptx JaSST 14 Tokyo セッション D5-2 10:30~11:00(30 分 ) キーワード駆動テストを用いた GUI テスト自動化による工期短縮実現への取り組み 2014 年 3 月 8 日 株式会社 NTT データ 技術開発本部プロアクティブ テスティング COE 小林由依 0. 自己紹介 n 氏名 Ø 小林由依 n 所属 Ø 株式会社 NTT データ技術開発本部 プロジェクトマネジメント

More information

変更要求管理テンプレート仕様書

変更要求管理テンプレート仕様書 目次 1. テンプレート利用の前提... 2 1.1 対象... 2 1.2 役割... 2 1.3 プロセス... 2 1.4 ステータス... 3 2. テンプレートの項目... 4 2.1 入力項目... 4 2.2 入力方法および属性... 5 2.3 他の属性... 5 3. トラッキングユニットの設定... 7 3.1 メール送信一覧... 7 3.1.1 起票... 7 3.1.2 検討中...

More information

ER/Studio Data Architect 2016 の新機能

ER/Studio Data Architect 2016 の新機能 ER/Studio Data Architect 2016 の新機能 ビジネスデータオブジェクトエンティティ / テーブルをビジネスデータオブジェクトにまとめることができるようになりました これらのオブジェクトにより 共通のリレーションシップを共有するエンティティやテーブルを目に見えるコンテナにまとめることができるので ビジネス概念をより適切に記述できます モデル / サブモデルの NST モデルやサブモデルに名前付け標準テンプレート

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション BRMS への取り組みと導入事例 2013 年 11 月 15 日 ( 金 ) SCSK 株式会社 IT エンジニアリング事業本部ミドルウェア部 本日の内容 BRMS 適用のポイント BRMS の可能性 Page 1 Page 2 アプリケーション連携基盤 SCSKのRed Hat JBoss / ミドルウェア技術に関する取り組みの取り組み 世界のオープンソース コミュニティーから製品化されたソフトウェア

More information

C#の基本

C#の基本 C# の基本 ~ 開発環境の使い方 ~ C# とは プログラミング言語のひとつであり C C++ Java 等に並ぶ代表的な言語の一つである 容易に GUI( グラフィックやボタンとの連携ができる ) プログラミングが可能である メモリ管理等の煩雑な操作が必要なく 比較的初心者向きの言語である C# の利点 C C++ に比べて メモリ管理が必要ない GUIが作りやすい Javaに比べて コードの制限が少ない

More information

た場合クラスを用いて 以下のように書くことが出来る ( 教科書 p.270) プログラム例 2( ソースファイル名 :Chap08/AccountTester.java) // 銀行口座クラスとそれをテストするクラス第 1 版 // 銀行口座クラス class Account String name

た場合クラスを用いて 以下のように書くことが出来る ( 教科書 p.270) プログラム例 2( ソースファイル名 :Chap08/AccountTester.java) // 銀行口座クラスとそれをテストするクラス第 1 版 // 銀行口座クラス class Account String name クラス ( 教科書第 8 章 p.267~p.297) 前回は処理をまとめる方法として メソッドについて学習した 今回はメソッドとその処理の対象となるデータをまとめるためのクラスについて学習する このクラスはオブジェクト指向プログラミングを実現するための最も重要で基本的な技術であり メソッドより一回り大きなプログラムの部品を構成する 今回はクラスにおけるデータの扱いとクラスの作成方法 使用方法について説明していく

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション コンパイラとプログラミング言語 第 11 週 条件分岐文と繰り返し文のコード生成 2014 年 6 月 18 日 金岡晃 授業計画 第 1 週 (4/9) コンパイラの概要 第 8 週 (5/28) 下向き構文解析 / 構文解析プログラム 第 2 週 (4/16) コンパイラの構成 第 9 週 (6/4) 中間表現と意味解析 第 3 週 (4/23) プログラミング言語の形式的な記述 第 10 週

More information

利用者

利用者 Regional SNS 開発環境構築ガイド 2012 年 2 月 29 日 株式会社ネットワーク応用通信研究所 目次 1. はじめに... 1 2. 前提条件... 1 3. 必要なソフトウェア構成... 1 4. ソフトウェアの導入手順... 1 4.1. 必要ファイルのダウンロード... 1 4.2. 環境設定コマンドの実行... 2 4.3. RegionalSNS の実行... 2 4.4.

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

Microsoft PowerPoint - 09.pptx

Microsoft PowerPoint - 09.pptx 情報処理 Ⅱ 第 9 回 2014 年 12 月 22 日 ( 月 ) 関数とは なぜ関数 関数の分類 自作関数 : 自分で定義する. ユーザ関数 ユーザ定義関数 などともいう. 本日のテーマ ライブラリ関数 : 出来合いのもの.printf など. なぜ関数を定義するのか? 処理を共通化 ( 一般化 ) する プログラムの見通しをよくする 機能分割 ( モジュール化, 再利用 ) 責任 ( あるいは不具合の発生源

More information

Visual Studio と.NET Framework 概要 Runtime Libraries Languag es Tool.NET Visual Studio 概要 http://download.microsoft.com/download/c/7/1/c710b336-1979-4522-921b-590edf63426b/vs2010_guidebook_pdf.zip 1.

More information

スライド 1

スライド 1 SPI Japan 2013 in 東京 Software Product Line の実践 ~ テスト資産の構築 ~ 住友電工情報システム株式会社 QCD 改善推進部品質改善推進グループ服部悦子 2013.10.17 P.1/24 目次 1. テスト資産構築に至る背景 2. テスト資産の構築 ~ 自動テストの実現 ~ 3. 結果と評価 P.2/24 テスト資産構築に至る 背景 P.3/24 背景

More information

Java講座

Java講座 ~ 第 1 回 ~ 情報科学部コンピュータ科学科 2 年竹中優 プログラムを書く上で Hello world 基礎事項 演算子 構文 2 コメントアウト (//, /* */, /** */) をしよう! インデントをしよう! 変数などにはわかりやすい名前をつけよう! 要するに 他人が見て理解しやすいコードを書こうということです 3 1. Eclipse を起動 2. ファイル 新規 javaプロジェクト

More information

データベース暗号化ツール「D’Amo」性能検証

データベース暗号化ツール「D’Amo」性能検証 平成 29 年 5 月 31 日 株式会社東和コンピュータマネジメント 概要 測定環境 測定要件 テーブル構成 測定手順 測定結果 システムログ 統計レポート 考察 感想 データベース暗号化ツール D Amo の導入を検討するにあたり NEC 製サーバ Express 上におけるツール適用後の動作確認ならびに処理性能の増加傾向を把握する目的で 本性能測定を実施する 測定環境 ハードウェア,OS, データベース

More information

4-4- 基スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語を学習し アプリケーション開発の手法を習得する 本カリキュラムでは まずスクリプト言語に位置づけされる Perl PHP Python JavaScript Ruby といった Ⅰ. 概要プログラミング言語の特徴に

4-4- 基スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語を学習し アプリケーション開発の手法を習得する 本カリキュラムでは まずスクリプト言語に位置づけされる Perl PHP Python JavaScript Ruby といった Ⅰ. 概要プログラミング言語の特徴に 4-4- 基スクリプト言語に関する知識 1 4-4- 基スクリプト言語に関する知識 コードの作成や修正が容易とされるスクリプト言語を学習し アプリケーション開発の手法を習得する 本カリキュラムでは まずスクリプト言語に位置づけされる Perl PHP Python JavaScript Ruby といった Ⅰ. 概要プログラミング言語の特徴について解説し 動作環境の構築や基本的な構文について習得する

More information

プログラミングI第10回

プログラミングI第10回 プログラミング 1 第 10 回 構造体 (3) 応用 リスト操作 この資料にあるサンプルプログラムは /home/course/prog1/public_html/2007/hw/lec/sources/ 下に置いてありますから 各自自分のディレクトリにコピーして コンパイル 実行してみてください Prog1 2007 Lec 101 Programming1 Group 19992007 データ構造

More information

SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します のチェックツールをルネサスエレクトロニクス株式会社のホームページ

SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します のチェックツールをルネサスエレクトロニクス株式会社のホームページ SuperH RISC engine C/C++ コンパイラ Ver.7 不具合内容 - 過去のお知らせ SuperH RISC engine C/C++ コンパイラ Ver.7 台における不具合内容を以下に示します 1. 2. 4. のチェックツールをルネサスエレクトロニクス株式会社のホームページより入手できます http//tool-support.renesas.com/jpn/toolnews/shc/shcv7/dr_shcv7_4.html

More information

Oracle Enterprise Linux 5における認証

Oracle Enterprise Linux 5における認証 Oracle Enterprise Linux 5 における認証 ORACLE Oracle Enterprise Linux 5 Oracle Enterprise Linux 5 は Red Hat Enterprise Linux 5 と完全互換 ( ソース バイナリとも ) Oracle Enterprise Linux 5 は完全 kabi 準拠 オープン ソースとしてご利用いただける Oracle

More information

SysMLに関する操作方法について

SysMLに関する操作方法について SysML に関する 操作方法について (2017/12/21 版 ) SparxSystems Japan Co., Ltd. 前提 設定 2 利用条件 以下のいずれかの条件を満たすことが必要 Enterprise Architect+ 有償アドイン MDG Technology for SysML EA Suite システムエンジニアリング版あるいはアルティメット版 ( これらのエディションには

More information