PLL クイック・ガイド for Cyclone III

Similar documents
オンチップ・メモリ クイック・ガイド for Cyclone III

Quartus II はじめてガイド - プロジェクトの作成方法

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

ModelSim-Altera - RTL シミュレーションの方法

Quartus II クイック・スタート・ガイド

複数の Nios II を構成する際の注意事項

Nios II Flash Programmer ユーザ・ガイド

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

Quartus II Web Edition インストール・ガイド

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II はじめてガイド - プロジェクトの作成方法

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Quartus II はじめてガイド - デバイス・プログラミング方法

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Quartus II クイック・スタートガイド

Nios II 簡易シミュレーション

Quartus II はじめてガイド - Convert Programming File の使い方

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus II はじめてガイド - Device & Pin Options 設定方法

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

Quartus II はじめてガイド - 回路図エディタの使い方

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II - Vectored Interrupt Controller の実装

FPGAメモリおよび定数のインシステム・アップデート

Quartus II はじめてガイド - プロジェクトの作成方法

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

Quartus II はじめてガイド - 回路図エディタの使い方

Virtex-6 Clocking

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

Quartus II - Chip Planner クイック・ガイド

Nios II 簡易チュートリアル

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

Chip PlannerによるECO

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II はじめてガイド - Device and Pin Options 設定方法

Nios II ハードウェア・チュートリアル

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

HP USB Port Managerご紹介資料 -シンクライアント

Quartus® Prime ガイド - Design Space Explorer II の使い方 Ver.16

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

はじめにお読みくださいfor HP Smart Zero Client v5.0

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

Microsoft Word - XPC4ソフトマニュアル.doc

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

AN424 Modbus/TCP クイックスタートガイド CIE-H14

Sharpdesk V3.5インストレーションガイド:プロダクトキー編

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

はじめに 弊社では Native Instruments 社製 DJ ソフトウエア TRAKTOR PRO 専用のセッティングファイルをご提供しております このファイルを使用することにより TRAKTOR PRO のサンプルデッキを本機でコントロールすることが出来ます サンプルデッキはデッキ C デ

Quartus II はじめてガイド - ピン・アサインの方法

Quartus II - TimeQuest クイック・ガイド

セットアップガイド

Welcome-Kit ~STM32L4-Nucleo~

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

AN1609 GNUコンパイラ導入ガイド

AN 477: Designing RGMII Interface with HardCopy

foobar2000

MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社

V-CUBE Gate 管理者用マニュアル

WES7シンクライアントIE11アップデート手順書

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

三菱電機マイコン機器ソフトウエア株式会社

Quartus Prime はじめてガイド - デバイス・オプションの設定方法

Microsoft Word - BJ-Trans_JW_SXFInstallguide.doc

簡単操作ガイド

KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0)

AudioGate 4 取扱説明書

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE ***

Microsoft PowerPoint LC_15.ppt

DS099-E04: XC3S400 FPGA エラッタおよび Spartan-3 データシートの確認

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

Power Calculator

IOWebDOC


WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

利用ガイド

現行のICカードリーダRW4040インストーラの課題

Microsoft Word - 作業報告書アプリの操作マニュアル.docx

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

PowerPoint プレゼンテーション

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

アカウント管理者 操作ドキュメント

LTE-Advanced キャリア・アグリゲーションの測定 アプリケーションノート

DS099-E09: XC3S5000 FPGA エラッタおよび Spartan-3 データシートの確認

AN661: ALTERA_PLLおよびALTERA_PLL_RECONFIGメガファンクションでフラクショナルPLLリコンフィギュレーションの実装

画面について 画面構成 画面構成 Smart Copy for Android の画面構成は 次のとおりです 1フォルダパス 2. ファイルの種類 3 ファイル一覧 5[ 戻る ] ボタン 4[ メニュー ] ボタン 1 フォルダパス現在表示している画面のフォルダパスが表示されます 2ファイルの種類

Nios II 簡易チュートリアル

目 次 第 1 章はじめに JAVA ポリシーを設定するまでの流れ... 1 第 2 章 JAVA ポリシーを設定する前に 前提条件の確認 JAVA ランタイム (JRE) のバージョンの確認方法... 3 第 3 章 JAVA 実行環境の設定.

Transcription:

ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいて PLL を実現するには ALTPLL メガファンクションを使用します ALTPLL を使用することでクロック信号を逓倍 分周 シフトなど簡単に調整することができます PLL で生成したクロック信号を出力専用ピンから外部のデバイスへ供給することも可能なので システムクロックを FPGA にて生成することも可能です ALTPLL メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy シリーズにて利用可能です 使用するデバイスによって ALTPLL のパラメータも異なりますので ご使用デバイスのデータ シートを必ずご確認ください この資料は Quartus II の MegaWizard TM Plug-In Manager で Cyclone III の ALTPLL を生成する方法をご紹介しています 2. 操作方法 本章では 以下のフローに沿って操作方法をご紹介します 2-1. Megawizard Plug-In Manager の起動 2-2. ALTPLL ファンクションの選択 2-3. パラメータの設定 2-1. Megawizard Plug-In Manager の起動 1 2 Quartus II 上の Tool メニュー Megawizard Plug-In Manager をクリックします Create a new custom megafunction variation を選択し Next をクリックします Page 1 of 12 Altima Corporation

補足 1 : MegaWizard Plug-In Manager にて既存のアルテラ ファンクションを変更 すでに MegaWizard Plug-In Manager で作成済みのデザインを編集する場合は Edit an existing custom megafunction variation を選択します 編集したいデザイン ファイルを選択し Next ボタンをクリックします その後 パラメータの編集を行います (2-3. Parameter Settings へ進んでください ) 編集したいファイルを選択して Next をクリック 2-2. ALTPLL ファンクションの選択 MegaWizard Plug-In Manager [page 2a] で 以下の設定を行います デバイスの選択 出力ファイルの言語を選択 出力先ディレクトリを指定 出力ファイル名 ( ファンクション名 : 任意 ) を入力 メガファンクション リストから Installed Plug-Ins I/O ALTPLL を選択 ver.9.1 2010 年 1 月 Page 2 of 12 Altima Corporation

2-3. パラメータの設定以下より ALTPLL のパラメータの設定を行います ( 使用デバイスにより 使用オプション レンジなどが異なりますのでご利用になるデバイスのデータ シートを必ずご確認ください また MegaWizard Plug-In Manager 上の About Documentation などでも ALTPLL の詳細やユーザー ガイドなどがご参照できます ) 1 Parameter Settings タブ ここでは Parameter Settings タブの設定をご紹介しています Parameter Settings タブは General/Modes タブ Inputs/Lock タブ Bandwidth/SS タブ Clock switchover タブで構成されています General/Modes タブ使用するデバイス スピードグレード PLL に入力するクロック周波数 PLL の種類 動作モードを設定します スピードグレードごとに PLL で生成可能なクロックの周波数が異なります PLL を正しく生成するために選定デバイスのスピードグレードを設定してください 各種設定後 Next をクリックします デバイス選択 使用するデバイスのスピードグレード選択 入力周波数 動作モードの指定 ( 次頁参照 ) ver.9.1 2010 年 1 月 Page 3 of 12 Altima Corporation

PLL 動作モードの各種設定は以下のとおりです Use the feedback path inside the PLL In Normal Mode 入力クロックと各レジスタまでのディレイ差を最小限にします In Source-Synchronous Compensation Mode 入力クロックピンと入力データピンの位相関係を I/O レジスタのクロックポート データポートでも保ちます In Zero Delay Buffer Mode 入力クロック信号から CLKLK_OUT ピンに出力される信号までのディレイ差を最小限におさえます with no Compensation クロックの位相調節は行いませんが ジッタ パフォーマンスは改善します Create an fbin input for an external feedback (External Feedback Mode) (Cyclone III 未対応 ) Which output clock will be compensated for? 補正する PLL 出力ポートを指定します Inputs/Lock タブ PLL オプション信号 Lock 信号出力の設定をします 各種設定後 Next をクリックします オプション入力信号の設定 Lock 出力の設定 PLL のアドバンスド パラメータの設定 ver.9.1 2010 年 1 月 Page 4 of 12 Altima Corporation

Inputs/Lock タブの各種設定は以下のとおりです Optional inputs Create a 'pllena' input to selectively enable the PLL (Cyclone III 未対応 ) Create an 'areset' input to asynchronously reset the PLL PLL リセット信号入力ポートをファンクションに追加します (High active) Create an 'pfdena' input to selectively enable the phase/frequency detector 位相周波数検出器 (PFD) イネーブル信号入力ポートをファンクションに追加します (High active) Lock output Create locked output PLL Lock 信号出力ポートをファンクションに追加します (PLL が Lock すると High を出力 ) Enable self-reset on loss of lock PLL の Lock が外れたとき 自動的に PLL のリセットを行います Advanced PLL parameters Create output file(s) using the Advanced PLL parameters PLL のアドバンスド パラメータ (m カウンタ値 n カウンタ値など ) が出力ファイルに追記されます Bandwidth/SS タブ 帯域幅とスペクトラム拡散の設定をします ( 不要な場合はデフォルト設定 ) 各種設定後 Next をクリックします この設定は Cyclone III は未サポート ver.9.1 2010 年 1 月 Page 5 of 12 Altima Corporation

また Bandwidth 欄の各種設定は以下のとおりです How would you like to specify the bandwidth setting? Auto 帯域幅はコンパイルが決定します Preset 帯域幅を Low, Medium, High から選択します Low は PLL のジッタ除去は向上しますが ロック時間が遅くなります High はロック時間が早くなりますが より多くジッタに追従します Medium は前の 2 つのオプションの中間です Custom (Cyclone III 未対応 ) Clock switchover タブ Clock Switchover 1) 機能を使用すると PLL に対して2 本のリファレンス クロックを切り替えることが可能です この機能は プライマリ クロックが動作を停止した際に セカンダリ クロックを動作させるなど デュアル クロック ドメイン アプリケーションに対して使用します ( 不要な場合はデフォルト設定 ) 各種設定後 Next をクリックします Clock Switchover を有効 入力周波数 (inclk1) Auto/Manual の設定 使用クロックの識別信号出力設定 クロックのトグル確認信号出力設定 ver.9.1 2010 年 1 月 Page 6 of 12 Altima Corporation

Clock Switchover タブの各種設定は以下のとおりです Input clock switch Create a 'clkswitch' input to manually select between the input clocks クロックをマニュアルで切り替えます また切り替えようのコントロール ポートをファンクションに追加します Allow PLL to automatically control the switching between input clocks オートでクロックが切り替える機能をイネーブルにします Create activeclock output to indicate the input clock begin used 出力されているクロックのソースである入力クロックの識別信号出力ポートをファンクションに追加します inclk0 が使われているときは High inclk1 が使われているときは Low に信号が遷移します Create clkbad output for each input clk クロック信号のトグル状態を表す出力ポートをファンクションに追加します (clkbad0 は inclk0 clkbad1 は inclk1 の状態を表す ) トグルしているときは Low トグルしていないときは High に信号が遷移します 1) Clock Switchover の詳細は Cyclone III Device Handbook 内の Chapter 5. Clock Networks and Plls in Cyclone III Devices をご参照ください 2 PLL Reconfiguration タブ PLL Reconfiguration タブでは PLL リコンフィギュレーション 2) に関する設定を行います 2) PLL リコンフィギュレーションの詳細は Cyclone III Device Handbook 内の Chapter 5. Clock Networks and Plls in Cyclone III Devices をご参照ください ver.9.1 2010 年 1 月 Page 7 of 12 Altima Corporation

3 Output Clocks タブ PLL で生成するクロックの仕様を各 clk タブにて設定します 出力クロックは 直接周波数を入力する方法と入力クロックを元に逓倍 分周比を設定する方法があります PLL インプリメント メッセージ クロック出力ポートの有 / 無 クロック出力の設定 出力クロックのパラメータ設定 ( 以下参照 ) クロック出力の各設定は以下のとおりです Clock Tap Setting Enter output clock frequency 周波数を入力して設定します Enter output clock parameters 逓倍 分周の値を入力して設定します Clock phase shift 出力クロックの位相シフトの設定をします Clock duty cycle (%) 出力クロックの Duty 比を設定します ver.9.1 2010 年 1 月 Page 8 of 12 Altima Corporation

補足 2 : PLL インプリメント メッセージ PLL インプリメント メッセージ から PLL にて生成可能なクロック可能かどうかを確認できます インプリメント可 インプリメント不可 インプリメントが不可の場合 上図のように赤字でメッセージが表示されますので 設定を変更してください 4 EDA タブ このメガファンクションを EDA Tool でファンクション シミュレーションする場合に必要なシミュレーション ライブラリが表示されます ALTPLL をシミュレーションする場合は altera_mf ライブラリが必要です ver.9.1 2010 年 1 月 Page 9 of 12 Altima Corporation

5 Summary サマリです また この操作により生成される出力ファイルを指定します ( 選択したファイル フォーマットにより出力されるファイル内容が異なります ) AHDL の場合 < ファンクション名 >.tdf : AHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.tdf : AHDL で呼び出したときのインスタンス記述テンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル ver.9.1 2010 年 1 月 Page 10 of 12 Altima Corporation

Verilog HDL の場合 < ファンクション名 >.v : Verilog HDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.v : Verilog HDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_bb.v :Verilog でのブラック ボックスファイル < ファンクション名 >_waveforms.html : サンプル波形ファイル VHDL の場合 < ファンクション名 >.vhd : VHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.vhd : VHDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル Finish ボタンをクリックすると 指定したファイルが生成され ALTPLL ファンクションの作成完了です ver.9.1 2010 年 1 月 Page 11 of 12 Altima Corporation

弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 222-8563 横浜市港区新横浜 1-5-5 マクニカ第二ビル TEL 045-476-2155 FAX 045-476-2156 大阪営業所 532-0003 大阪市淀川区宮原 3-4-30 ニッセイ新大阪ビル 17 階 TEL 06-6397-1053 FAX 06-6397-1054 名古屋営業所 460-0003 名古屋市中区錦 1-6-5 名古屋錦シティビル 7 階 TEL 052-202-1024 FAX 052-202-1025 宇都宮営業所 321-0953 宇都宮市東宿郷 4-2-24 センターズビル 7 階 TEL 028-637-4488 FAX 028-637-4489 ver.9.1 2010 年 1 月 Page 12 of 12 Altima Corporation