オンチップ・メモリ クイック・ガイド for Cyclone III

Similar documents
PLL クイック・ガイド for Cyclone III

Quartus II はじめてガイド - プロジェクトの作成方法

アルテラ USB-Blastre ドライバのインストール方法 for Windows OS

複数の Nios II を構成する際の注意事項

Quartus II クイック・スタート・ガイド

ModelSim-Altera - RTL シミュレーションの方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

Quartus II Web Edition インストール・ガイド

Nios II Flash Programmer ユーザ・ガイド

Quartus II はじめてガイド - プロジェクトの作成方法

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II 簡易シミュレーション

Nios II カスタム・インストラクションによるキャスト(型変換)の高速化

Microsoft Word - ALT0982_program_epcs_by_niosii_v10.doc

Quartus II クイック・スタートガイド

Quartus II はじめてガイド - デバイス・プログラミング方法

Quartus II - デバイスの未使用ピンの状態とその処理

Quartus II はじめてガイド - Convert Programming File の使い方

FPGAメモリおよび定数のインシステム・アップデート

ModelSim-Altera Edition インストール & ライセンスセットアップ Linux ver.11

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

Quartus II はじめてガイド - 回路図エディタの使い方

ModelSim - アルテラ・シミュレーション・ライブラリ作成および登録方法

Quartus Prime はじめてガイド - デバイス・プログラミングの方法

Quartus II はじめてガイド - 回路図エディタの使い方

RAM-ベース・シフト・レジスタ (ALTSHIFT_TAPS) メガファンクションのユーザーガイド

PCI-Express ハード IP を使用した DMA の実現 for Cyclone V GT FPGA 開発キット(ソフトウェア編)

Nios II SBT Flash Programmer ユーザ・ガイド

Nios II - Vectored Interrupt Controller の実装

Cyclone III デバイス・ファミリの メモリ・ブロック

Quartus II はじめてガイド - プロジェクトの作成方法

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - Device & Pin Options 設定方法

Quartus Prime - プログラミング・ファイルの生成や変換(Convert Programming Files)

Quartus II はじめてガイド - EDA ツールの設定方法

内部メモリ(RAMおよびROM)ユーザーガイド

Nios II 簡易チュートリアル

Quartus II はじめてガイド - よく使用するロジック・オプション設定方法 (個別設定)

Quartus II - Chip Planner クイック・ガイド

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

アカウント管理者 操作ドキュメント

AN424 Modbus/TCP クイックスタートガイド CIE-H14

インテル® Stratix®10 デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール・ユーザーガイド

RW-4040 導入説明書 Windows 7 用 2017 年 7 月 シャープ株式会社

簡単設定でスマホをブロック!仮想化時代の情報漏えい対策に最適なツール

Notes and Points for TMPR454 Flash memory

画面について 画面構成 画面構成 Smart Copy for Android の画面構成は 次のとおりです 1フォルダパス 2. ファイルの種類 3 ファイル一覧 5[ 戻る ] ボタン 4[ メニュー ] ボタン 1 フォルダパス現在表示している画面のフォルダパスが表示されます 2ファイルの種類

TDK Equivalent Circuit Model Library

PowerPoint プレゼンテーション

Application Note Application Note No. ESC-APN 文書番号 : ESC-APN Tcl link library インストールガイド はじめに Tcl link library インストールガイド ( 以下 本書 ) では Act

RW-5100 導入説明書 Windows7 用 2017 年 7 月 シャープ株式会社

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

バーコードハンディターミナル BT-1000 シリーズセットアップガイド ( 第 1 版 ) CE ***

Verilog HDL による回路設計記述

AN1609 GNUコンパイラ導入ガイド

WebSAM System Navigator JNS isadmin SNMP Trap 連携設定手順書 NEC 2012 年 12 月

Quartus II はじめてガイド ‐ Device and Pin Options 設定方法

HP シンクライアント はじめにお読みください HP ThinPro 7 クイックマニュアル

Microsoft Word - DDJ-WeGO_TRAKTOR2_Import_Guide_J.doc

MAC アドレス変更ツール MAC アドレスチェンジャー ユーザーズマニュアル User's Manual エレコム株式会社

Nios II 簡易チュートリアル

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

WES7シンクライアントIE11アップデート手順書

PowerPoint プレゼンテーション

HP USB Port Managerご紹介資料 -シンクライアント

TRENDフォトビューアの起動方法と使い方

HP Elitex3 評価ガイド シン クライアント 編

目次 ページ 1. 本マニュアルについて 3 2. 動作環境 4 3. ( 前準備 ) ライブラリの解凍と保存 5 4. モデルのインポート 6 5. インポートしたモデルのインピーダンス計算例 8 6. 補足 単シリーズ 単モデルのインポート お問い合わせ先 21 2

WindowsXPインストール

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

(Microsoft PowerPoint - TINA_Creating_PCB.ppt [\214\335\212\267\203\202\201[\203h])

はじめにお読みくださいfor HP Smart Zero Client v5.0

Nios II ハードウェア・チュートリアル

目次 本書の取り扱いについて... 3 事前準備... 4 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のディスク領域を使う場合の起動方法 )... 5 MultiPoint Server 2011 OEM 版のインストール (OS リカバリー用のメデ

本書は INpMac v2.20(intime 5.2 INplc 3 Windows7/8/8.1に対応 ) の内容を元に記載しています Microsoft Windows Visual Studio は 米国 Microsoft Corporation の米国及びその他の国における登録商標です

TRENDフォトビューアの起動方法と使い方

WES7/WE8SシンクライアントVMwareHorizonClientアップデート手順書

HP ThinUpdateを使用したWESシンクライアントイメージリストア手順書

USBドライバインストールマニュアル [Windows Vista/Windows 7]

MSDM_User_Manual_v0.2.1-B-1

ご注意 1) 本書の内容 およびプログラムの一部 または全部を当社に無断で転載 複製することは禁止されております 2) 本書 およびプログラムに関して将来予告なしに変更することがあります 3) プログラムの機能向上のため 本書の内容と実際の画面 操作が異なってしまう可能性があります この場合には 実

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

第 2 版

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

Notes and Points for TM4C123Gx Internal Flash memory

PCI Express Compiler ユーザガイド ~シミュレーション編・SOPC Builder フロー~

Quartus II はじめてガイド - ピン・アサインの方法

Unibo-with ガイド Unibo-with とは Unibo-with とは スマートフォン専用のユニボ用アプリです 外出先でも 留守番中のユニボの機能を一部活用できます ユニボの家族や友達とビデオ通話 見守り機能 ユニボとカレンダーを共有 ユニボで撮った写真をダウンロード A さんと通話で

KDDI Smart Mobile Safety Manager Apple Business Manager(ABM) 運用マニュアル 最終更新日 2019 年 4 月 25 日 Document ver1.1 (Web サイト ver.9.6.0)

2ALU 以下はデータ幅 4ビットの ALU の例 加算, 減算,AND,OR の4つの演算を実行する 実際のプロセッサの ALU は, もっと多種類の演算が可能 リスト 7-2 ALU の VHDL 記述 M use IEEE.STD_LOGIC_1164.ALL; 00 : 加算 use IEE

S1C17 Family Application Note S1C17 シリーズ PORT 多重割り込みアプリケーションノート Rev.1.0

Microsoft Word - 作業報告書アプリの操作マニュアル.docx

WebReportCafe

<< 目次 >> 1 PDF コンバータのインストール ライセンスコードの入力 PDF にフォントを埋め込みたい場合の設定 PDF オートコンバータ EX で使用しない場合 PDF コンバータ単体で使用する場合の説明 PDF コンバータのアン

目次 1. 回答作成手順 2 2. ツールの起動 3 3. 一般情報の入力 6 4. 成分表の入力 9 5. 依頼者情報の入力 エラーチェック XMLファイルの作成 動作設定 ( 任意 ) ( ご参考 ) 各種シートのボタン機能 ( ご参

4. 環境変数の設定実行にあたり 次のように環境変数を設定する必要があります 1)SJIS ロケールの指定コマンド例 )export LANG=ja_JP.sjis 2)MQ 環境の指定コマンド例 ). /opt/mqm/bin/setmqenv s 3)COBOL 実行環境の指定コマンド例 ).

CLUSTERPRO MC StorageSaver for BootDisk 1.2 (for Windows) インストールガイド 2014(Mar) NEC Corporation はじめに 製品導入の事前準備 本製品のインストール 本製品の初期設定 本製品のアンインストール

Trueflow 3 Ver3

FIR コンパイラ II MegaCore ファンクションのユーザーガイド

Transcription:

ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy シリーズにて利用可能です 使用するデバイスやメモリのタイプによって Memory Compiler メガファンクションのパラメータも異なりますので ご使用デバイスのデータ シートを必ずご確認ください この資料では Quartus II の MegaWizard TM Plug-In Manager で Cyclone III のオンチップ メモリを実現する方法をご紹介します 2. 操作方法 オンチップ メモリは RAM: 1-PORT, RAM: 2-PORT, RAM: 3-PORT, ROM: 1-PORT, ROM: 2-PORT の各モードを MegaWizard Plug-In Manager にて生成することができます この章では MegaWizard Plug-In Manager の操作を以下のフローに沿ってご紹介します 2-1. MegaWizard Plug-In Manager の起動 2-2. オンチップ メモリ ファンクションの選択 2-3. パラメータの設定 2-1. MegaWizard Plug-In Manager の起動 1 Quartus II 上の Tool メニュー MegaWizard Plug-In Manager をクリックします 2 Create a new custom megafunction variation を選択し Next をクリックします Page 1 of 14 Altima Corporation

補足 1 : MegaWizard Plug-In Manager にて既存のアルテラ ファンクションを変更 すでに MegaWizard Plug-In Manager で作成済みのデザインを編集する場合は Edit an existing custom megafunction variation を選択します 編集したいデザイン ファイルを選択し Next ボタンをクリックします その後 パラメータの編集を行います (2-3. パラメータの設定へ進んでください ) 編集したいファイルを選択して Next をクリック 2-2. オンチップ メモリ ファンクションの選択 MegaWizard Plug-In Manager [page 2a] で 以下の設定を行います デバイスの選択 出力ファイルの言語を選択 出力先ディレクトリを指定 出力ファイル名 ( ファンクション名 : 任意 ) を入力 メガファンクション リストから Installed Plug-Ins Memory Compiler より 使用するオンチップ メモリを選択 ver.9.1 2010 年 1 月 Page 2 of 14 Altima Corporation

2-3. パラメータの設定パラメータの設定を行います ( 使用デバイスにより 使用オプション レンジなどが異なりますのでご利用になるデバイスのデータ シートを必ずご確認ください また MegaWizard Plug-In Manager 上の About Documentation などでも ALTPLL の詳細やユーザー ガイドなどがご参照できます ) また各メモリのモードによりパラメータ設定の画面が異なります 本節では 各メモリのモードごとに設定方法をご紹介します 2-3-1. RAM: 1-PORT 2-3-2. RAM: 2-PORT 2-3-3. RAM: 3-PORT 2-3-4. ROM: 1-PORT 2-3-5. ROM: 2-PORT 2-3-1. RAM: 1-PORT 1 Parameter Settings タブ Widths/Blk Type/Clk タブバス幅 メモリ サイズなどを設定します 設定終了後 Next をクリックします デバイス選択 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 メガファンクション リストから バス幅の指定 Installed Plug-Ins Memory Compiler より 使用するオンチサイズの設定ップ メモリを選択 クロック系統の設定 ( 入力クロックと出力クロックの独立 ) 使用リソース数を表示 ver.9.1 2010 年 1 月 Page 3 of 14 Altima Corporation

Regs/Clken/Byte Enable/Aclrs タブ シングルクロック 入力 / 出力別クロック Read/Write 別クロックからメモリのクロック仕様を選択します リード イネーブルの設定をします 設定終了後 Next をクリックします データ出力をレジスタ出力に設定 クロック イネーブルの設定 クリア信号入力ポートの追加 リード イネーブル信号入力ポートの追加 補足 2 : More Options. の設定 クロック イネーブルおよびクリア信号の設定は More Options ボタンの設定でより詳細な設定を行うことができます ver.9.1 2010 年 1 月 Page 4 of 14 Altima Corporation

Read During Write Option タブ ライト時のリード データのオプション設定ができます 設定終了後 Next をクリックします 補足 3 : Read During Write オプションの設定 Read During Write Option タブでは ライト時にリードされるデータの種類を New Data, Old Data, Don t Care から選択可能です ( デフォルト New Data ) 下の例では New Data, Old Data をシミュレーション結果により比較しており 上図が New Data 下図が Old Data のシミュレーション結果です New Data ライトしたデータが逐次出力される Old Data ライトされてあるデータが逐次出力される ver.9.1 2010 年 1 月 Page 5 of 14 Altima Corporation

Mem Int タブ 使用しているオンチップ メモリの初期値設定などを行うことができます 設定終了後 Next をクリックします メモリ初期値ファイル使用の有無 使用するメモリ初期値ファイルのパス指定 In-system Memory Content Editor を使用する際に設定 2 EDA タブ このメガファンクションを EDA Tool でファンクション シミュレーションする場合に必要なシミュレーション ライブラリが表示されます ALTPLL をシミュレーションする場合は altera_mf ライブラリが必要です ver.9.1 2010 年 1 月 Page 6 of 14 Altima Corporation

3 Summary タブサマリです また この操作により生成される出力ファイルを指定します ( 選択したファイル フォーマットにより出力されるファイル内容が異なります )Finish ボタンをクリックすると 指定したファイルが生成されメモリ ファンクションの作成完了です AHDL の場合 < ファンクション名 >.tdf : AHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.tdf : AHDL で呼び出したときのインスタンス記述テンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル < ファンクション名 >_wave*.html : サンプル波形ファイル Verilog HDL の場合 < ファンクション名 >.v : Verilog HDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.v : Verilog HDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_bb.v :Verilog でのブラック ボックスファイル < ファンクション名 >_waveforms.html : サンプル波形ファイル < ファンクション名 >_wave*.html : サンプル波形ファイル VHDL の場合 < ファンクション名 >.vhd : VHDL のデザインファイル < ファンクション名 >.ppf : PinPlanner インポート ファイル < ファンクション名 >.inc : AHDL で呼びだす時のインクルード ファイル < ファンクション名 >.cmp :VHDL で呼び出すためのコンポーネント記述 < ファンクション名 >.bsf : 回路図で呼び出すためのシンボルファイル < ファンクション名 >_inst.vhd : VHDL で呼び出したときのインスタンス記述のテンプレート < ファンクション名 >_waveforms.html : サンプル波形ファイル < ファンクション名 >_wave*.html : サンプル波形ファイル ver.9.1 2010 年 1 月 Page 7 of 14 Altima Corporation

2-3-2. RAM: 2-PORT 1 Parameter Settings タブ General タブ使用デバイス デュアルポート RAM の種類などを選択します デバイス選択 使用するデュアルポート RAM のタイプ選択 メモリ サイズの表記を選択 ( ワード or ビット ) 使用リソース数を表示 Widths/Blk Type/Clk タブ バス幅 メモリ サイズなどを選択します メモリのワード数 バス幅の選択 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 ver.9.1 2010 年 1 月 Page 8 of 14 Altima Corporation

Clks/Rd, Byte En タブ クロック 各イネーブルの設定をします クロック系統の種類を選択 リード イネーブル信号入力ポートの追加 バイトイネーブル ポートの追加 Regs/Clkens/Aclrs タブ クロック イネーブル リセット信号の設定を行います リード イネーブル ポートの追加クロック イネーブル信号入力ポートの追加 リセット信号入力ポートの追加 ver.9.1 2010 年 1 月 Page 9 of 14 Altima Corporation

Output1 Output2 は Clks/Rd, Byte En タブで Dual clock: use separate input and output clocks を選択した場合のみ表示されます 片方がライト時で もう片方がリードしている場合の出力オプション設定 Output2 Output1 は General タブで With two read/write ports を選択した場合のみ表示されます 本紙 6 頁 Read During Write Option タブ 項を参照 Mem Int 本紙 6 頁参照 2 EDA タブ 本紙 6 頁参照 3 Summary タブ 本紙 7 頁参照 ver.9.1 2010 年 1 月 Page 10 of 14 Altima Corporation

2-3-3. RAM: 3-PORT 1 Parameter Settings タブ Widths, Blk Type, Clk, Rdens タブ バス幅 メモリ サイズを設定 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 クロック系統の種類を選択 リード イネーブル信号入力ポートの追加 Regs, Clokens, Aclrs タブ データ出力をレジスタ出力に設定 クロック イネーブル信号入力ポートの追加 リセット信号入力ポートの追加 ver.9.1 2010 年 1 月 Page 11 of 14 Altima Corporation

Mem Int 本紙 6 頁参照 2 EDA タブ本紙 6 頁参照 3 Summary タブ本紙 7 頁参照 2-3-4. ROM: 1-PORT 1 Parameter Settings タブ General デバイス選択 バス幅 メモリ サイズを設定 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 クロック系統の種類を選択 使用リソース数を表示 Regs/Clkens/Aclrs 本紙 4 頁参照 Mem Int 本紙 6 頁参照 2 EDA タブ本紙 6 頁参照 3 Summary タブ本紙 7 頁参照 ver.9.1 2010 年 1 月 Page 12 of 14 Altima Corporation

2-3-5. ROM: 2-PORT 1 Parameter Settings タブ Widths/Blk Type デバイス選択 メモリ サイズの表記を選択 ( ワード or ビット ) ワード数の選択 バス幅の選択 配置ブロックの選択 (Auto メモリ ブロックのタイプ ロジック エレメント ) 1 ブロック辺りの最大ワード数の指定 使用リソース数を表示 Clks/Rd, Byte En 本紙 9 頁参照 Regs/Clkens/Aclrs 本紙 4 頁参照 Mem Int 本紙 6 頁参照 2 EDA タブ本紙 6 頁参照 3 Summary タブ本紙 7 頁参照 ver.9.1 2010 年 1 月 Page 13 of 14 Altima Corporation

弊社より資料を入手されましたお客様におかれましては 下記の使用上の注意を一読いただいた上でご使用ください 1. 本資料は非売品です 許可無く転売することや無断複製することを禁じます 2. 本資料は予告なく変更することがあります 3. 本資料の作成には万全を期していますが 万一ご不明な点や誤り 記載漏れなどお気づきの点がありましたら 弊社までご一報いただければ幸いです 4. 本資料で取り扱っている回路 技術 プログラムに関して運用した結果の影響については 責任を負いかねますのであらかじめご了承ください 5. 本資料は製品を利用する際の補助的な資料です 製品をご使用になる場合は 英語版の資料もあわせてご利用ください 横浜本社 222-8563 横浜市港区新横浜 1-5-5 マクニカ第二ビル TEL 045-476-2155 FAX 045-476-2156 大阪営業所 532-0003 大阪市淀川区宮原 3-4-30 ニッセイ新大阪ビル 17 階 TEL 06-6397-1053 FAX 06-6397-1054 名古屋営業所 460-0003 名古屋市中区錦 1-6-5 名古屋錦シティビル 7 階 TEL 052-202-1024 FAX 052-202-1025 宇都宮営業所 321-0953 宇都宮市東宿郷 4-2-24 センターズビル 7 階 TEL 028-637-4488 FAX 028-637-4489 ver.9.1 2010 年 1 月 Page 14 of 14 Altima Corporation