PowerPoint Presentation

Size: px
Start display at page:

Download "PowerPoint Presentation"

Transcription

1 The World Leader in High Performance Signal Processing Solutions 最近のプリント基板で生じがちなトラブル対策に必要な知識 アナログ デバイセズ株式会社石井聡 2014 年 12 月 13 日

2 アジェンダ 1. 回路実現でトラブルを生じさせない 基本中の基本 2. 最近注意すべきトラブル 基板上 ( 層間 ) で生じる容量 3. マイコン回路とAD 変換でのトラブルで知っておくべき基礎技術 4. デジアナ混在基板で生じやすいトラブル 結合 5. 特性インピーダンスを理解して信号反射のトラブルを回避する 6. 信号反射によるトラブルのしくみと終端抵抗の重要性を理解する 7. 信号反射がアナログ信号伝送に与えるトラブルのしくみ 8. より高度な差動信号伝送における信号反射のようすと終端抵抗の必要性を理解する 2

3 3 1. 回路実現でトラブルを生じさせない 基本中の基本

4 L と認識する範囲 L と認識する範囲 信号の振幅 H と認識する範囲 H と認識する範囲 デジタル IC の入力レベル ( スレッショルド ) を確実にする ( 異電源のケースが増えている ) VCC 5V VCC 3.3V V OH 接続 V IH これだけマージンがある V IL V OL 4 GND 3.3V CMOS 通常の 5VCMOS TTL 互換 5VCMOS (74HCT)

5 IC のスレッショルドの例 DA コンバータ AD5601 マイコン ATmega328P 2.6V 5

6 IC のスレッショルドの例 ( つづき ) マイコン ATmega64/ V 6

7 IC のスレッショルドの例 ( 高 低への I/F) 5V トレラント入力 TC7WZ04FU vcc まででは無い 5V 3.3V の I/F ができる 7 スレッショルドは電源依存 ( 問題ないが )

8 チャタリングが生じないようにする ( ヒステリシス入力の活用 ) ダラダラ信号にも有効 3V で切替 5V 入力 0V 時間 スイッチでチャタリングが発生しているようす (100us/Div) CR でフィルタ CR とヒステリシス入力 IC (74HC14) でチャタリングを防止したようす ( マイコンでソフトでもできる ) 5V 出力 0V 時間 74HC14 ヒステリシス特性 IC によって電圧は異なる 入力がダラダラ変化する信号にも有効 8 ヒステリシス入力

9 バイパス コンデンサ ( デカップリング ) の必要性 電源と IC までのパターンが理想的であればいいが 出力抵抗ゼロの電源 電源電圧の変動を抑える ( 高周波をコンデンサが分担する ) + 端子 アンプ アンプ 端子 電源電圧は変動しない 9 抵抗ゼロのパターン 電源電圧が変動する電圧変動が減尐 ( 高周波をコンデンサが分担する ( 高周波成分がやっかい ) )

10 複数の並列コンデンサで高い周波数まで対応できる C なし C1 重 C2 重 コンデンサありなしでのプリント基板インピーダンス 1 330µF T µF µF µF 個づつ (1 330µF T µF µF µF 1608) 10 T520 は Kemet 社の高分子タンタル コンデンサ

11 11 2. 最近注意すべきトラブル 基板上 ( 層間 ) で生じる容量

12 一番基本的なローパスフィルタの回路形状 -3dB の周波数は 1/(2πCR) 以降は周波数が 2 倍で -6dB で低下 12

13 基板上で予期しない容量が生じる C = ε r ε 0 S d L1 -L2 間は 0.2mm しかない!( もっと狭い場合もある!) L1 に 1cm SQ なら (L2 がベタで ) S = 1cmSQ, d = 0.2mm, ε r = 4.7 とすると 20.8pF! 13 P 板.com サイトより転載

14 デジタル回路では立ち上がりが鈍る デジタル入力 この辺まで 100ns (10MHz 相当 ) たとえば 1kΩ 出力 100ns 先の計算 20pF 14

15 アナログ回路では周波数特性が劣化する 出力の電圧の大きさ ( 低減度 ) -3dB の周波数は 1/(2πCR) 以降は周波数が 2 倍で 1/2(-6dB ) で低下 1/ 2(-3dB ) は 8MHz 出力の位相 ( 波形の遅れ )

16 16 3. マイコン回路と AD 変換でのトラブルで知っておくべき基礎技術

17 マイコン内蔵の AD 変換器 17 RENESAS RX62N/RX621 グループユーザーズマニュアルハードウェア編より抜粋

18 マイコン内蔵 AD 変換器の入力構造 最大 6.0pF 最大 6.5kΩ 容量を高速で充電する必要あり 18 RENESAS RX62N/RX621 グループユーザーズマニュアルハードウェア編より抜粋

19 12 ビット ADC AD7476A 非線形性誤差に相当 絶対精度誤差に相当 Analog Devices AD7476A/7A/8A Datasheet より抜粋 より分解能の高い 16/18/24 ビットなどがあるが難易度が高い 19

20 AD7476A 入力構造 容量が見えるので外部にバッファが必要 Analog Devices AD7476A/7A/8A Datasheet より抜粋 20

21 AD7476A には駆動アンプが必要 AD7476A に推奨される駆動用アンプ この時間でコンデンサに充電する必要あり ( デジタル回路では立ち上がりが鈍る の話と同じ ) 21 Analog Devices AD7476A/7A/8A Datasheet より抜粋

22 サンプリングによる 折り返し の問題 サンプリング周期 0.125ms = 8kHz (8ksps) 信号周波数 6kHz AD 変換結果 2kHz 22

23 振幅 サンプリングによる 折り返し の問題 AD 変換結果の周波数 f = 2kHz 本来の信号周波数 f = 6kHz ナイキスト周波数範囲 対策はローパス フィルタで折り返し ( エイリアシング ) になる成分を除去する ( なお DAC でも同様に生じる ) 周波数 ナイキスト周波数 fs/2 = 4kHz サンプリング周波数 fs = 8kHz 23

24 AD7476A での AD 変換回路例 電源 6V~10V ADA を入力容量のドライバに用いている AD は +5V で動作し オフセット電圧が 2.5uV max 入力換算ノイズが 5.6nV/ Hz 入出力レール to レール ( ギリギリまで動く ) という超高性能 OP アンプ ( なのでここで用いた ) ここに信号源を入れる (0V か 5V ギリギリは好ましくない また LPF は図示していない ) マイコンとの間の信号の暴れを軽減 マイコン I 2 C 24 24

25 25 4. デジアナ混在基板で生じやすいトラブル 結合

26 結合トラブルのシナリオ デジタル回路の電圧と電流がアナログ回路に影響を与える アナログ信号 本来の信号はサイン波 デジタル信号 ( ストローブ信号 ) 26

27 周辺からの目に見えない影響 ( 静電容量による結合 ) ここに電圧が加わると 部品面 グラウンド ここに電圧が発生する 半田面もしくは内層 容量で結合する 交流電圧が加わった金属体など 容量で結合する ( コンデンサ ) 27

28 静電容量による結合をレイアウトで低減 グラウンドに落した内層 部品面 ここには結合しない ここに電流が流れていく 半田面もしくは内層 ここに電圧が加わると グラウンドパターンを挟む ここに電流が流れていく 28

29 周辺からの目に見えない影響 ( 電磁誘導による結合 ) ここに電流が流れると 磁束が発生 ここに電流が流れると 磁束が発生 ここに電流が発生する ここに電圧が発生する 電圧が加わり 対策は面積を狭くする 離す 電流量を減らす 29

30 どんな結合が考えられるか? ここまでの説明でどんな 結合 が考えられるか 30

31 どんな結合が考えられるか?( こたえ ) 電磁誘導による結合 容量による結合 このふたつで想定外のところで ( 浮遊成分として ) 結合が生じ 回路の性能劣化の原因になる 電磁誘導 容量 31

32 前半のまとめ まずは 基本中の基本 をおさえておこう! 基板上で生じる容量により高速な回路でトラブルが生じる 後半の特性インピーダンスの考え方もポイント AD 変換は連続量が離散量 ( 数値 ) に変換される特異点がある サンプリングのときに容量を十分に充電すること デジアナ混在基板では 結合 に注意する アナログ側が影響を受けるほう 対策の基本は 分離 する 32

33 33 5. 特性インピーダンスを理解して信号反射のトラブルを回避する

34 高速信号伝送のトラブルの例 (56MHz CLK) 本来の H レベル 2V/Div 1V/Div 34

35 高速信号伝送のトラブルの例 ( 拡大図 ) 本来あるべき H レベル 信号遷移点 ドライバ IC 側で再反射したものが再度 伝達往復時間で 先端 ( レシーバ IC) の未整合の再々反射が観測されている 2V/Div 伝達往復時間で先端 ( レシーバ IC) の未整合による反射が観測されている ( このときドライバ IC 側で再反射している ) 1V/Div 35

36 特性インピーダンス ( 伝送線路 ) を理解する必要性 特性インピーダンスの考えが活用されていないのでトラブルが生じていた 回路設計 仕様がどんどんハイスピード化している 高周波回路は当然ながら同軸ケーブルなど伝送線路をもちいる 高速デジタル回路も シリアルATA, IEEE-1394, USB 2.0 CPUバスラインなどなど プリント基板上やリード線内の電圧や電流のうごきを伝送線路 ( 特性インピーダンス ) として考える必要あり 500MHz 3GHz MHz

37 ハイスピード信号伝送回路 ( 伝送線路 ) の例 同軸ケーブル 高速デジタル信号のプリント基板 ( 最近は インピーダンス コントロール基板 というものを使うことも多い ) 37 イーサネット ケーブル 高周波回路 ( マイクロ ストリップ ライン )

38 ハイスピード信号伝送 ( より高度な差動信号伝送 ) シリアル ATA, IEEE1394, USB 2.0, LVDS などは差動信号伝送ここでも ターミネータ という言葉があるが これが特性インピーダンスと深く関係している ターミネータ ターミネータ 38 でも特性インピーダンスっていったいナニモノ? USB 2.0 の回路例 (480Mbps)

39 電圧 [V] 電圧や電流は伝送線路内を波として移動していく f=50mhz 波長は 4m になる 位相速度が m/s のため 波長は 6m では無い デジタル信号も波として移動 m@1nsだけ進んでいる -10 位相速度 m/sで負荷側に進んでいる 電流も同じ 同軸ケーブル上の位置 [m] 負荷抵抗 39 特性インピーダンスは 波として移動していく電圧と電流との相互関係 中に抵抗があるわけではない 周波数 50MHz 1ns ごとに表示している 位相速度というものがあり 光速ではないここでは m/s( 一般に使われる同軸ケーブルでの位相速度 )

40 信号が伝わるのはロープ上を波が伝わるのと同じ イメージ実験をしてみましょう 1 1 ロープを繰り返し振り 波が伝わるようす ( 連続波 ) を確認します 2 ロープをひと振りして波が伝わるようす ( パルス デジタル信号 ) を確認します 3 1 および 2 から電気信号の伝わるようすを思い描いてみてください 40

41 電流 [A] 電圧 [V] 10V, 0.2Aが伝わっていくのが特性インピーダンス 50Ω 波として移動していく電圧と電流との相互関係が特性インピーダンス 内部に 50Ω の抵抗成分があるわけではない 同軸ケーブル上の位置 [m] 実効値 10V 実効値 0.2A 全ての位置で 電圧 / 電流 =50Ω の関係が成立している 位相速度 m/s 位相速度 m/s 同軸ケーブル上の位置 [m] 41 この図は周波数 50MHz 横軸は位置 [m] です!

42 P 板.com インピーダンス コントロール基板の設計パラメータ 目的のパターン幅とギャップを対象配線に適用 ( 以下で計算 ) 特性インピーダンスラインにアパーチャ (D コード ) を設定 別途指示書に特性インピーダンスの指定値を記載 指示例 L8 のアパーチャ D302 のパターンを差動 100Ω でインピーダンス制御 *Zdiff L8,100ohm,on D302,L/S=0.15/0.15mm L/S とは パターン幅 (L) と間隔 (S) を指す 信号伝送パターン メーカ 材質によって異なる! W プリント基板の絶縁体 ( 誘電体 ) H 42 ベタパターン 特性インピーダンスは W と H と誘電率で決まる

43 インピーダンス コントロール基板の品質管理 43 P 板.com サイトより転載

44 44 6. 信号反射によるトラブルのしくみと終端抵抗の重要性を理解する

45 負荷抵抗が特性インピーダンスと異なると電圧と電流が反射する 進む波 ( たとえば 10V) ( たとえば 0.2A) 進む波 デジタル信号も波として移動 信号源 デジタル信号も波として反射 ( たとえば 10V 0.41) ( たとえば 0.2A 0.41) 反射して戻る波 たとえば R L =120Ω 45

46 信号の反射をロープ上を波が伝わるので実験してみる イメージ実験をしてみましょう 2 1 ロープを繰り返し振り 波が伝わるようす ( 連続波 ) と反射してくるようすを確認します 2 ロープをひと振りして波が伝わるようす ( パルス デジタル信号 ) と反射してくるようすを確認します 3 1 および 2 から電気信号の反射するようすを思い描いてみてください 46

47 反射する比率 反射係数 信号源 進む波 ( たとえば 10V) ( たとえば 0.2A) デジタル信号は波として移動 進む波 47 反射して戻る波 デジタル信号は波として反射 進む波と反射する波の比率は電流 電圧ともども ミスマッチ 状態 ( たとえば 10V 0.41) ( たとえば 0.2A 0.41) 反射して戻る波 ( たとえば R L =120Ω なら 0.41) これが 反射係数

48 本来は負荷端 ( 遠端 ) で終端する 同軸ケーブルで説明しているが基板のパターンも同じ 整合終端 信号源近端 進む波 進む波 負荷遠端 反射なし 信号源 負荷端 反射してこない Γ = 0 48

49 デジタル信号での多重反射 信号源のインピーダンスも異なっていると 反射して戻ってきた信号がまたそこでも再反射してしまう ( 多重反射 ) 進む波 進む波 反射して戻る波 反射して戻る波 またまた反射して進む波 終端時 ( 本来の ) 信号源波形 負荷端 ( 遠端波形 ) 最後は またまた反射して進む波 反射して戻る波 に落ち着く 49

50 シミュレーションで終端と反射を見てみる 基本は近端と遠端を終端 信号源 50Ω 負荷 50Ω デジタル回路での多重反射のようす 5V を送って 5V を受ける CMOS デジタルではどう対策する? ひとつが 近端終端 ( 信号源に 50Ω) という技 近端終端 で近端に戻ってきたとき再反射が無い 50 伝搬時間 5ns 同軸ケーブルで 1m 相当

51 51 7. 信号反射がアナログ信号伝送に与えるトラブルのしくみ

52 電圧 [V] 電圧 [V] 電圧 [V] 正弦波 ( アナログ信号 ) の伝送の場合 ピーク値 1V 0.2m@1ns だけ進んでいる 0.2m@1ns だけ戻っている m = 2.5 波長 進む波 戻る波 合成した波は振幅が変化している ミスマッチ 状態 この図は電圧を例にして表記している進む波は ピーク値 1V ( ピークからピークは 2V 実効値 0.7V) 同軸ケーブル上の位置 [m]

53 電圧 [V] ミスマッチで生じる定在波とその大きさ 移動しない谷ができる 移動しない山ができる 同軸ケーブル上の位置 [m] 周波数 50MHz 位相速度は高速の 66% 反射係数 0.33 進行波波高 10V 53

54 マッチングしていないと途中の見かけ上のインピーダンスが変化する 信号源 ピーク値 1V 1/8 波長 0.5m 1/8 波長 0.5m 1/8 波長 0.5m 電圧 1.1V 電流 22mA 位相 V/I = 50Ω 電圧 0.6V 電流 28mA 位相 0 V/I = 21Ω 電圧 1.1V 電流 22mA 位相 V/I = 50Ω 電圧 1.4V 電流 12mA 位相 0 V/I = 120Ω ここではピークからピークの大きさで示してある j 35.2 (112nH) j 35.2 (90pF) 周波数 50MHz で考えている 位相は電流の位相 リアクタンスは周波数で変化するので注意 n は 10-9 これは連続した正弦波で考えている

55 55 8. より高度な差動信号伝送における信号反射のようすと終端抵抗の必要性を理解する

56 LVDS で高速 ( かつ低 EMI) 差動伝送 ADC 出力 LVDSドライバ (+3.3V) (3.5mA) V+ V V V+ +1.2V 3.5k W 3.5k W 差動伝送線路 ( 差動特性インピーダンス 100Ω) (3.5mA) グラウンドへのリターン電流が無い 振幅レベルがかなり小さい! EMI 対策にも良好 56 アナログ デバイセズの AN-586 が LVDS について説明

57 AD9514 の LVDS 200Mbps 信号で終端状態のデモ この端子間を差動プローブで計測 差動出力端 クロック用 IC AD9514 (LVDS 出力 ) 出力側終端抵抗 この間を 100Ω で差動終端すべき 57

58 CLK ドライバ AD9514 の LVDS 200Mbps 信号で終端状態のデモ ( シングル エンドで観測 ) ゼロ V 1.2V + 信号ライン (CH1) 等しい振幅量 (350mV) の逆相信号 ゼロ V 500mV/Div - 信号ライン (CH2) 58

59 適切にプロービングする ( できるだけ短く接続 ) この端子間を差動プローブで計測 59 1GHz 差動プローブ P6247 ( テクトロニクス ) を使って計測撮影上の理由でグラウンド ( 基準 ) 端子は未接続

60 適切に終端されていない場合の信号反射 この端子間を差動プローブで計測 同軸ケーブル 1m AD9514 LVDS 出力 終端抵抗なし 1UI に相当 プリント基板上の振る舞いのデモのため 1m 2 本の同軸 60Mbps の条件で等価的な実験をする 60

61 適切に終端された場合の信号波形 (60Mbps) この端子間を差動プローブで計測 同軸ケーブル 1m AD9514 LVDS 出力 1UI に相当 100Ω 抵抗差動終端 プリント基板上だとして 1/10 にスケーリングしてみると 10cm ストリップ ライン 600Mbps( 繰返し 300MHz) の条件と同じ 61

62 全体 ( 後半 ) のまとめ 信号はパターン上を 波 として移動 ( 伝搬 ) する このイメージを理解することが大切 電圧と電流の 波 の比率が特性インピーダンス 中に抵抗体があるわけではない 特性インピーダンスに合わせた 終端 が必要 終端が不適切だと信号が反射する 差動伝送でも考え方は同じ 回路のうごきの 基本 をイメージで抑えておくだけで トラブルを未然に回避できる 62

アジェンダ 前編 1. イントロダクション 2. 大きさ を表すデシベル (db) と dbm の考え方 3. dbm をちょっと基本クイズで考える 4. db に関連して出てくる用語 5. 電圧と電流は伝送線路内を波として伝わっていく 後編 6. 伝送線路と特性インピーダンス 7. 電圧と電流が反

アジェンダ 前編 1. イントロダクション 2. 大きさ を表すデシベル (db) と dbm の考え方 3. dbm をちょっと基本クイズで考える 4. db に関連して出てくる用語 5. 電圧と電流は伝送線路内を波として伝わっていく 後編 6. 伝送線路と特性インピーダンス 7. 電圧と電流が反 The World Leader in High Performance Signal Processing Solutions 高速アナログ回路技術の基本を正しく理解して正しく設計する ( 後編 ) アナログ デバイセズ株式会社石井聡 アジェンダ 前編 1. イントロダクション 2. 大きさ を表すデシベル (db) と dbm の考え方 3. dbm をちょっと基本クイズで考える 4. db に関連して出てくる用語

More information

スライド 1

スライド 1 アナログ検定 2014 1 アナログ検定 2014 出題意図 電子回路のアナログ的な振る舞いを原理原則に立ち返って解明できる能力 部品の特性や限界を踏まえた上で部品の性能を最大限に引き出せる能力 記憶した知識や計算でない アナログ技術を使いこなすための基本的な知識 知見 ( ナレッジ ) を問う問題 ボーデ線図などからシステムの特性を理解し 特性改善を行うための基本的な知識を問う問題 CAD や回路シミュレーションツールの限界を知った上で

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information The World Leader in High Performance Signal Processing Solutions SPICE ツールで適切な周波数特性と異常発振しない OP アンプ回路を実現する 基礎編 アナログ デバイセズ株式会社石井聡 1 アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

elm1117hh_jp.indd

elm1117hh_jp.indd 概要 ELM7HH は低ドロップアウト正電圧 (LDO) レギュレータで 固定出力電圧型 (ELM7HH-xx) と可変出力型 (ELM7HH) があります この IC は 過電流保護回路とサーマルシャットダウンを内蔵し 負荷電流が.0A 時のドロップアウト電圧は.V です 出力電圧は固定出力電圧型が.V.8V.5V.V 可変出力電圧型が.5V ~ 4.6V となります 特長 出力電圧 ( 固定 )

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht 第 章復調回路 古橋武.1 組み立て.2 理論.2.1 ダイオードの特性と復調波形.2.2 バイアス回路と復調波形.2.3 復調回路 (II).3 倍電圧検波回路.3.1 倍電圧検波回路 (I).3.2 バイアス回路付き倍電圧検波回路 本稿の Web ページ http://mybook-pub-site.sakura.ne.jp/radio_note/index.html 1 C 4 C 4 C 6

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力を用意 密着取付可能 アプリケーション例 容積式流量計のパルス信号を単位パルスに変換 機械の回転による無接点信号を単位パルスに変換

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

Microsoft Word - プロービングの鉄則.doc

Microsoft Word - プロービングの鉄則.doc プロービングの鉄則 基礎編 測定点とオシロスコープをどうやって接続するか?/ プロービング ノウハウが必要な理由 オシロスコープの精度って? まずは 標準プローブを使いこなす ~ プローブ補正で よくある 5 つの失敗例 ~ 1. 補正したプローブは他のスコープでそのまま使える? 2. アースはつながっていれば OK? 3. 安いプローブで十分? 4. トラブル シュートのために プローブを接続したら

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

形式 :RPPD 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー R

形式 :RPPD 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー R 計装用プラグイン形変換器 M UNIT シリーズ パルスアイソレータ ( センサ用電源付 ロータリエンコーダ用 ) 主な機能と特長 ロータリエンコーダの 2 相パルス入力信号を絶縁して各種の 2 相パルス出力信号に変換 オープンコレクタ 電圧パルス パワーフォト MOS リレー RS-422 ラインドライバ パルス出力を用意 入出力仕様の異なる 2 系統のパルスアイソレータとしても使用可能 RS-422

More information

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 となるように半固定抵抗器を調整する ( ゼロ点調整のため ) 図 1 非反転増幅器 2010 年度版物理工学実験法

More information

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2 S9066-211SB S9067-201CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2つの受光部の出力を減算し ほぼ可視光域にのみ感度をもたせています また従来品に比べ 同一照度における異なる色温度の光源に対しての出力変化を低減しています

More information

Taro-DSノート

Taro-DSノート 3.A/D,D/A 変換 振幅が連続しており, 時間軸方向にも切れ目がない信号をアナログ信号と呼ぶ. これに対して, 振幅が飛び飛びであり, 飛び飛びの時刻にのみ存在し, または からなる数値列で表した信号をディジタル信号と呼ぶ. アナログ信号をディジタル信号に変換する回路が A/D 変換器 (A-D 変換器,ADC) であり, その逆の操作を行う回路が D/A 変換器 (D-A 変換,DAC) である.

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package)

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package) p.1 p.2 3. オペアンプ回路の基礎 3.1.2 理想オペアンプ Vcc A: Open Loop Gain 3.1 オペアンプとは ~ 計測基礎回路 ~ 1 2 Zin Zout =A(12) Vcc 理想条件下のオペアンプは上記のような等価回路として考えることができる 1. 2. 3. 4. 一般的な回路記号 新 JIS 記号 5. 6. 市販製品外観例 内部の構成回路例 (NJM4580DD)

More information

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質

機器仕様構造 : プラグイン構造接続方式 入出力信号 供給電源 :M3.5 ねじ端子接続 ( 締付トルク 0.8N m) NestBus RUN 接点出力 : コネクタ形ユーロ端子台 ( 適用電線サイズ :0.2~2.5mm 2 剥離長 7mm) 端子ねじ材質 : 鉄にクロメート処理ハウジング材質 形式 :SML スーパー M UNIT シリーズ リモート入出力ユニット (NestBus 用 ) 主な機能と特長 NestBus 接続用のリモート入出力ユニット 分散設置 増設が簡単なオールインワン構造 伝送路はより対線 伝送端子は脱着可能なコネクタ式を採用 自己診断機能内蔵 接点入出力ユニットは入出力状態表示ランプ付 SML-R2 以外 SML-R2 R3:Ai4 点 +Ao4 点 150,000

More information

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ ) 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題

More information

Microsoft Word - LVDS-R仕様書_第1版_.doc

Microsoft Word - LVDS-R仕様書_第1版_.doc LVDS-CMOS 変換基板 LVDS-R 取り扱い説明書 ( 第 1 版 ) 2015/10 1/17 目次 実装 組み込み上のご注意 実装 組み込み上のご注意 保証 免責事項 P3 P4 製品の概要 特長 1. オプション ( 別売り ) P5 2. 基板各部コネクタ の名称とはたらきと基板寸法図 P5 3. 使用目的 用途 P7 4. 主な特長 P8 基本仕様 1. 絶対最大定格 P9 2.

More information

形式 :WJPAD 絶縁 2 出力計装用変換器 W UNIT シリーズ 本製品は生産中止となりました 代替機種として WJPAD2 をご検討下さい パルスアナログ変換器 ( センサ用電源付 スペックソフト形 ) 主な機能と特長 パルス入力信号を直流出力信号に変換 センサ用電源内蔵 無電圧接点パルス

形式 :WJPAD 絶縁 2 出力計装用変換器 W UNIT シリーズ 本製品は生産中止となりました 代替機種として WJPAD2 をご検討下さい パルスアナログ変換器 ( センサ用電源付 スペックソフト形 ) 主な機能と特長 パルス入力信号を直流出力信号に変換 センサ用電源内蔵 無電圧接点パルス 絶縁 2 出力計装用変換器 W UNIT シリーズ 本製品は生産中止となりました 代替機種として WJPAD2 をご検討下さい パルスアナログ変換器 ( センサ用電源付 スペックソフト形 ) 主な機能と特長 パルス入力信号を直流出力信号に変換 センサ用電源内蔵 無電圧接点パルス 電圧パルスまたは 2 線式電流パルス用を用意 周期的に周波数が変化する不等速パルスの補正可能 4 ポート絶縁 密着取付可能

More information

TC74HCT245AP/AF

TC74HCT245AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Traceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

推奨端子電圧 (Ta=25 C) 電源電圧 Vdd V クロックパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V スタートパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V ブロ

推奨端子電圧 (Ta=25 C) 電源電圧 Vdd V クロックパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V スタートパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V ブロ 画素ごとにアンプを内蔵することで高感度を実現 は 画素ごとにアンプを内蔵することで高感度を実現した CMOS リニアイメージセンサです 画素サイズ 14 14 µm 2048 画素で長尺の受光面 ( 有効受光長 28.672 mm) となっています 特長 用途 画素サイズ : 14 14 μm 2048 画素 有効受光面長 : 28.672 mm 高感度 : 50 V/(lx s) 全画素同時蓄積

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M

MPPC 用電源 C 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても M MPPC 用電源 C1104-0 高精度温度補償機能を内蔵した MPPC 用バイアス電源 C1104-0は MPPC (Multi-Pixel Photon Counter) を駆動するために最適化された高電圧電源です 最大で90 Vを出力することができます 温度変化を伴う環境においても MPPCを常に最適動作させるために温度補償機能を内蔵しています ( アナログ温度センサの外付けが必要 ) また

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

49Z-12716-2.qxd (Page 1)

49Z-12716-2.qxd (Page 1) www.tektronix.co.jp µ 全 A = 1/4N * ( T 1-T 2 ), (i =1...N) ディスク ドライブ設計のための測定ソリューション アプリケーション ノート 図 6. リード チャンネルの電流を生成するために使用する任意波形ゼネレー タと電流プローブ リード ライト ヘッドの電流 ライト ヘッドの電流振幅は ヘッド リードを電流プ ローブでルーピングすることにより簡単に測定できま

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

高速小型 DSP の電源ラインノイズ対策アプリケーションのご紹介 株式会社村田製作所コンポーネント事業本部 EMI 事業部商品開発部開発 2 課 Murata Manufacturing Co., Ltd. All Rights Reserved, Copyright (c) #1

高速小型 DSP の電源ラインノイズ対策アプリケーションのご紹介 株式会社村田製作所コンポーネント事業本部 EMI 事業部商品開発部開発 2 課 Murata Manufacturing Co., Ltd. All Rights Reserved, Copyright (c) #1 高速小型 DSP の電源ラインノイズ対策アプリケーションのご紹介 株式会社村田製作所コンポーネント事業本部 EMI 事業部商品開発部開発 2 課 Murata Manufacturing Co., Ltd. All Rights Reserved, Copyright (c) #1 目次 1. 背景 2. 電源ラインノイズ対策手法の基本原理 3. 電源ライン周辺のインピーダンスの概算 4. 電源ライン評価基板の紹介

More information

s と Z(s) の関係 2019 年 3 月 22 日目次へ戻る s が虚軸を含む複素平面右半面の値の時 X(s) も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z(s) にしていま す リアクタンス回路の駆動点リアクタンス X(s)

s と Z(s) の関係 2019 年 3 月 22 日目次へ戻る s が虚軸を含む複素平面右半面の値の時 X(s) も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z(s) にしていま す リアクタンス回路の駆動点リアクタンス X(s) と Z の関係 9 年 3 月 日目次へ戻る が虚軸を含む複素平面右半面の値の時 X も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z にしていま す リアクタンス回路の駆動点リアクタンス X も Z に含まれます Z に正弦波電流を入れた時最大値 抵抗 コイル コンデンサーで作られた受動回路の ラプラスの世界でのインピーダンスを Z とします

More information

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o

等価回路図 絶対最大定格 (T a = 25ºC) 項目記号定格単位 入力電圧 1 V IN 15 V 入力電圧 2 V STB GND-0.3~V IN+0.3 V 出力電圧 V GND-0.3~V IN+0.3 V 出力電流 I 120 ma 許容損失 P D 200 mw 動作温度範囲 T o 小型スタンバイ機能付高精度正電圧レギュレータ 概要 NJU7241 シリーズは, 出力電圧精度 ±2% を実現したスタンバイ機能付の低消費電流正電圧レギュレータ IC で, 高精度基準電圧源, 誤差増幅器, 制御トランジスタ, 出力電圧設定用抵抗及び短絡保護回路等で構成されています 出力電圧は内部で固定されており, 下記バージョンがあります また, 小型パッケージに搭載され, 高出力でありながらリップル除去比が高く,

More information

Microsoft Word - サイリスタ設計

Microsoft Word - サイリスタ設計 サイリスタのゲート回路設計 サイリスタはパワエレ関係の最初に出てくる素子ですが その駆動用ゲート回路に関する文献が少なく 学 生が使いこなせないでいる ゲート回路の設計例 ( ノイズ対策済み ) をここに記しておく 基本的にサイリス タのゲート信号は電流で ON させるものです 1. ノイズ対策済みゲート回路基本回路の説明 図 1 ノイズ対策済みゲート回路基本回路 1.1 パルストランス パルストランスは

More information

オペアンプの容量負荷による発振について

オペアンプの容量負荷による発振について Alicatin Nte オペアンプシリーズ オペアンプの容量負荷による発振について 目次 :. オペアンプの周波数特性について 2. 位相遅れと発振について 3. オペアンプの位相遅れの原因 4. 安定性の確認方法 ( 増幅回路 ) 5. 安定性の確認方法 ( 全帰還回路 / ボルテージフォロア ) 6. 安定性の確認方法まとめ 7. 容量負荷による発振の対策方法 ( 出力分離抵抗 ) 8. 容量負荷による発振の対策方法

More information

スライド タイトルなし

スライド タイトルなし 第 9 回情報伝送工学 情報を持った信号の加工 ( フィルタ ) 高周波フィルタとはフィルタとは ある周波数の電磁波のみを通過させる回路 ( 部品 ) であり アンテナからの微小な信号を選択増幅するために 得に初段の増幅器前のフィルタには低損失な性能が要求される たとえば 下図におけるアンテナ直下に配置されているフィルタは アンテナから入力された信号のうち 必要な周波数帯域のみを受信回路に送り 一方送信回路から送られてきた信号を周波数の違いにより受信回路には入れず

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

形式 :M2XPA3 コンパクト変換器みにまるシリーズ パルスアナログ変換器 (PC スペック形 ) 主な機能と特長 パルス入力信号を統一信号に変換 PC による入出力フルコンフィギュレーション可能 センサ用電源内蔵 RS-422 ドライバによるパルス信号を直入力可能 入力周波数レンジ :0~200

形式 :M2XPA3 コンパクト変換器みにまるシリーズ パルスアナログ変換器 (PC スペック形 ) 主な機能と特長 パルス入力信号を統一信号に変換 PC による入出力フルコンフィギュレーション可能 センサ用電源内蔵 RS-422 ドライバによるパルス信号を直入力可能 入力周波数レンジ :0~200 コンパクト変換器みにまるシリーズ パルスアナログ変換器 (PC スペック形 ) 主な機能と特長 パルス入力信号を統一信号に変換 PC による入出力フルコンフィギュレーション可能 センサ用電源内蔵 RS-422 ドライバによるパルス信号を直入力可能 入力周波数レンジ :0~200kHz 入力ゼロ周波数 :0Hz 入力スパン周波数 :100kHz リニアライズ機能 : なし ( リニア ) カットアウト

More information

5 付加コード ( 複数項指定可能 ) 規格適合 ( 下記より必ずご指定下さい ) /N:CE UL 適合なし /CE:CE 適合品 /UL:UL CE 適合品 オプション仕様無記入 : なし /Q: あり ( オプション仕様より別途ご指定下さい ) ( 付加コード ( 規格適合 ) の /UL は

5 付加コード ( 複数項指定可能 ) 規格適合 ( 下記より必ずご指定下さい ) /N:CE UL 適合なし /CE:CE 適合品 /UL:UL CE 適合品 オプション仕様無記入 : なし /Q: あり ( オプション仕様より別途ご指定下さい ) ( 付加コード ( 規格適合 ) の /UL は コンパクト変換器みにまるシリーズ パルスアナログ変換器 (PC スペック形 ) 主な機能と特長 パルス入力信号を統一信号に変換 PC による入出力フルコンフィギュレーション可能 センサ用電源内蔵 RS-422 ドライバによるパルス信号を直入力可能 入力周波数レンジ :0~200kHz 入力ゼロ周波数 :0Hz 入力スパン周波数 :100kHz リニアライズ機能 : なし ( リニア ) カットアウト

More information

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt 応用電力変換工学舟木剛 第 5 回本日のテーマ交流 - 直流変換半端整流回路 平成 6 年 月 7 日 整流器 (cfr) とは 交流を直流に変換する 半波整流器は 交直変換半波整流回路 小電力用途 入力電源側の平均電流が零にならない あんまり使われていない 全波整流回路の基本回路 変圧器が直流偏磁しやすい 変圧器の負荷電流に直流分を含むと その直流分により 鉄心が一方向に磁化する これにより 鉄心の磁束密度の増大

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

TC74HC245,640AP/AF

TC74HC245,640AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

形式 :W2VS 絶縁 2 出力小形信号変換器みにまる W2 シリーズ 直流入力変換器 ( アナログ形 ) 主な機能と特長 直流信号を入力とするコンパクト形プラグイン構造の変換器 アナログ回路により直流信号を統一信号に変換 高速応答形を用意 ワールド電源を用意 密着取付可能 アプリケーション例 プロ

形式 :W2VS 絶縁 2 出力小形信号変換器みにまる W2 シリーズ 直流入力変換器 ( アナログ形 ) 主な機能と特長 直流信号を入力とするコンパクト形プラグイン構造の変換器 アナログ回路により直流信号を統一信号に変換 高速応答形を用意 ワールド電源を用意 密着取付可能 アプリケーション例 プロ 絶縁 2 出力小形信号変換器みにまる W2 シリーズ 直流入力変換器 ( アナログ形 ) 主な機能と特長 直流信号を入力とするコンパクト形プラグイン構造の変換器 アナログ回路により直流信号を統一信号に変換 高速応答形を用意 ワールド電源を用意 密着取付可能 アプリケーション例 プロセス計装や FA においてパネルとフィールド機器間の絶縁をして ノイズ対策を行う -123-45 価格基本価格 1 出力形

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output 10-1 10.1 降圧形 昇圧形 SIDO 電源 (1)

More information

測定器の持つ誤差 と 使い方による誤差

測定器の持つ誤差 と 使い方による誤差 計測展 2007 チュートリアル Part2 Page 1 はじめに 測定器は高機能で便利になっている測定器は複雑化して 原理が見えにくくなっている 測定器が Black Box 化している 最も単純な例を中心に基本的な内容を解説する抵抗 1~2 本の回路をマルチ メータで測定する Page 2 講演の概要 1) 測定器の持つ誤差と使い方による誤差 抵抗とマルチメータを中心として 2) 設計と測定の融合

More information

Arduino 用電界強度計シールド組み立て説明書 この電界強度計は Analog Devices 社のログ アンプ AD8307 を使い 入力を 50Ωにマッチングさせ その出力を OP アンプで受けて Arduino の A/D コンバータで読み取り LCD ディスプレイに表示しています AD8

Arduino 用電界強度計シールド組み立て説明書 この電界強度計は Analog Devices 社のログ アンプ AD8307 を使い 入力を 50Ωにマッチングさせ その出力を OP アンプで受けて Arduino の A/D コンバータで読み取り LCD ディスプレイに表示しています AD8 Arduino 用電界強度計シールド組み立て説明書 この電界強度計は Analog Devices 社のログ アンプ AD8307 を使い 入力を 50Ωにマッチングさせ その出力を OP アンプで受けて Arduino の A/D コンバータで読み取り LCD ディスプレイに表示しています AD8307 の特長をデータシートで見ると 完全多段 LOG アンプ 92 db のダイナミック レンジ :-75

More information

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電 1024 画素の高速ラインレート近赤外イメージセンサ (0.9~1.7 μm) 多チャンネル高速ラインレートを必要とする異物選別や医療診断装置用として設計された1024 ch 近赤外 / 高速リニアイメージセンサです 信号処理回路にはCTIA (Capacitive Transimpedance Amplifi er) を採用し サンプルホールド回路を介する事で全画素同時蓄積を行いながら 読み出しを可能にしています

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

(Microsoft Word - \202S\211\211\216Z\221\235\225\235\212\355.docx)

(Microsoft Word - \202S\211\211\216Z\221\235\225\235\212\355.docx) 4 演算増幅器と応用 目的演算増幅器 (Operatinal Amplifier 日本ではオペアンプと俗称されることがある ) は, 入力インピーダンスと増幅率が極めて大きいという優れた特性をもつアナログ型の増幅器で, 種々の機能をもつ電子回路を実現するのに用いられる応用範囲の広い要素である. 演算増幅器は, トランジスタ, ダイオード, 抵抗, コンデンサなどを複雑に組み合わせて構成されるが, 現在では,

More information

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S

反転型チャージポンプ IC Monolithic IC MM3631 反転型チャージポンプ IC MM3631 概要 MM3631XN は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの S 反転型チャージポンプ IC Monolithic IC MM3631 概要 MM3631X は反転型のチャージポンプ IC です 入力電圧範囲の 1.8V ~ 3.3V を 2 個の外付けコンデンサを使用して負電圧を生成します パッケージは 6 ピンの SOT-26B (2.9 2.8 1.15mm) の小型パッケージを採用しています CE 端子を内蔵しており スタンバイ時は 1 μ A 以下と待機時電流を低減しています

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

形式 :KAPU プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 20

形式 :KAPU プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 20 プラグイン形 FA 用変換器 K UNIT シリーズ アナログパルス変換器 ( レンジ可変形 ) 主な機能と特長 直流入力信号を単位パルス信号に変換 オープンコレクタ 5V 電圧パルス リレー接点出力を用意 出力周波数レンジは前面から可変 ドロップアウトは前面から可変 耐電圧 2000V AC 密着取付可能 9012345678 ABCDEF SPAN ZERO CUTOUT CUTOUT ADJ.

More information

Microsoft Word - NJM7800_DSWJ.doc

Microsoft Word - NJM7800_DSWJ.doc 3 端子正定電圧電源 概要 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ IC です 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形, FA 1. IN 2. GND 3. OUT DL1A 1.

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 ) リレー接点は 110V DC 使用可 AEDY-12345-67 価格基本価格 75,000 円加算価格 110V

More information

Microsoft Word - SPARQアプリケーションノートGating_3.docx

Microsoft Word - SPARQアプリケーションノートGating_3.docx SPARQ を使用したフィクスチャの S パラメータ抽出 TECHNICAL BRIEF 伊藤渉 Feb 3, 2014 概要 SMA や K コネクタ等ではない非同軸タイプのコネクタを使用する DUT をオシロスコープで測定するにはコネクタの変換の為にフィクスチャを使用します このフィクスチャの伝送特性を差し引き DUT のみの特性を求めたい場合 フィクスチャの伝送特性を抽出することは通常では困難です

More information

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX:

Ver.1-5 Date レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 神奈川県相模原市中央区相模原 TEL: FAX: Ver.1-5 Date 2014.11.11 レゾルバ変換器 (R/D 変換器 ) R D 1416 取扱説明書 レゾルバデジタル変換器 (RD1416) サーボテクノ株式会社 252-0231 神奈川県相模原市中央区相模原 6-2-18 TEL:042-769-7873 FAX:042-769-7874 目 次 1. 概要... 2 2. 特長... 2 3. 用途... 2 4. 仕様...

More information

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf)

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf) 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール は フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます また本製品には High/Low 2 レンジ切り替え機能が付いています 検出する光量に応じて適切なレンジ選択を行うことで 高精度な出力を得ることができます 特長 用途 電圧出力のため取り扱いが簡単

More information

MXT無電圧接点セレクタ(XJJM.506)

MXT無電圧接点セレクタ(XJJM.506) General Specifications MXT 無電圧接点セレクタ (XJJM.0) 概要本器は, 直流電流および直流電圧信号の第 および第 入力信号と接点入力を持ち, 接点入力 ( 切替信号 ) により第 入力, 第 入力のいずれかを選択してできるプラグイン形の無電圧接点セレクタです 別売のパラメータ設定ツール (VJ) またはハンディターミナル (JHT00) で切替信号のセレクト論理の設定,

More information

スライド 1

スライド 1 パワーエレクトロニクス工学論 10. 各種シングル インダクタデュアル アウトプット (SIDO) 電源 10-1 降圧形 昇圧形 SIDO 電源 10-2 リプル制御 SIDO 電源 10-3 ZVS-PWM 制御 SIDO 電源 10-4 ソフトスイッチングSIDO 電源 SIDO: Single Inductor Dual Output H28 群馬大学大学院講義パワーエレクトロニクス工学論

More information

PFC回路とAC-DC変換回路の研究

PFC回路とAC-DC変換回路の研究 第 2 回電気学会東京支部栃木 群馬支所合同研究発表会 2012/2/29 EG1112 PFC 回路と ACDC 変換器 村上和貴小堀康功邢林高虹 小野澤昌徳小林春夫高井伸和新津葵一 ( 群馬大学 ) Outline 研究背景と目的 PFCについて 従来 PFC 付 ACDC 変換器 新提案 PFC 付 ACDC 変換器 シミュレーションによる検討 まとめ Outline 研究背景と目的 PFCについて

More information

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の

TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 15 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の TITAN マルチコンタクト プローブ TITAN マルチコンタクト プローブは MPI の独自の TITAN RF プロービング技術をさらに発展させた RF/ マイクロ波デバイス特性評価用プローブです 最大 5 コンタクトまでのプロービングが可能で 各コンタクトは RF ロジック バイパス電源の中から選択可能です TITAN プローブのもつ優れたインピーダンス整合 電気特性 チップの視認性 長寿命をすべて兼ね備えています

More information

TC74HC14AP/AF

TC74HC14AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC14AP,TC74HC14AF Hex Schmitt Inverter TC74HC14A は シリコンゲート CMOS 技術を用いた高速 CMOS シュミットトリガインバータです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます ピン接続 機能は TC74HCU04 と同じですが すべての入力は約

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

Microsoft PowerPoint - Renesas_AdvancedPPmL(2010_11_11_rev).ppt [互換モード]

Microsoft PowerPoint - Renesas_AdvancedPPmL(2010_11_11_rev).ppt [互換モード] Agilent EEsof 3D EM Application series 高速差動伝送ライン Advaced PPmL の評価 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリング Page 1 アプリケーション概要 高速差動伝送路の特性評価 伝送レートの高速化に伴い 分布定数の考え方による伝送線路特性の評価が重要となると共に 伝送線路の高密度伝送線路の高密度化により

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2017 年度 v1 1 機械工学実験実習 オペアンプの基礎と応用 オペアンプは, 世の中の様々な装置の信号処理に利用されています本実験は, 回路構築 信号計測を通し, オペアンプの理解をめざします オペアンプの回路 ( 音楽との関連 ) 入力信号 機能 - 振幅の増幅 / 低減 ( 音量調整 ) - 特定周波数の抽出 ( 音質の改善 ) - 信号の合成 ( 音の合成 ) - 信号の強化 ( マイクに入力される微弱な音信号の強化

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx

Microsoft PowerPoint - RL78G1E_スタータキットデモ手順_2012_1119修正版.pptx Smart Analog Stick をはじめて動かす RL78G1E STARTER KIT を始めて使う方のために インストールから基本的な使い方を体験する部分を順番にまとめました この順番で動かせば とりあえず体験できるという内容で作成してあります 2 度目からお使いの場合には Stick ボードを USB に接続した状態で 3 から始めてください 詳細な機能説明は ユーザーズマニュアルやオンラインヘルプを参考にしてください

More information

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :

形式 :TMS テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 : テレメータ テレメータ変換器 (300bps 専用回線用 ) 主な機能と特長 アナログ 1 点または 2 点 接点 2 点を送受信するテレメータ変換器 帯域品目 3.4kHz 300bps アプリケーション例 小規模テレメータシステム 符号品目 50bps 用テレメータ ( 形式 :TMA TMT/TMR) の更新用 1 入出力の種類 E1:Di2 点 +Do2 点 ( リレー ) E2:Di2 点

More information

電流プローブと計測の基礎 (Tektronix 編 ) 電圧波形は違うのが当たり前 オームの法則 ( 図 1) により 電流は抵抗器によって電圧に変換することができます 電流波形を観測 するとき 電流経路に抵抗器を挿入し電圧に変換後 電圧波形として電圧プローブで観測する手法が あります この手法にお

電流プローブと計測の基礎 (Tektronix 編 ) 電圧波形は違うのが当たり前 オームの法則 ( 図 1) により 電流は抵抗器によって電圧に変換することができます 電流波形を観測 するとき 電流経路に抵抗器を挿入し電圧に変換後 電圧波形として電圧プローブで観測する手法が あります この手法にお 電流プローブと計測の基礎 (Tektronix 編 ) 電圧波形は違うのが当たり前 オームの法則 ( 図 1) により 電流は抵抗器によって電圧に変換することができます 電流波形を観測 するとき 電流経路に抵抗器を挿入し電圧に変換後 電圧波形として電圧プローブで観測する手法が あります この手法において陥りやすいまちがいは 抵抗器を安易に純抵抗とみなしてしまうことで す 図 1: オームの法則 十分に低い周波数

More information

EMC 設計技術者試験問題例無断転載禁止

EMC 設計技術者試験問題例無断転載禁止 EMC 設計技術者資格練習問題 018 年 4 月 1 日 EMC 設計技術者試験問題例無断転載禁止 EMC 設計技術者試験問題例無断転載禁止 1. 定格静電容量が 1 [μf] の面実装セラミックキャパシタで 内部インダクタンスが 10 [nh] の場合 下記条件のもとで このキャパシタの自己共振周波数に近いのはいずれか 条件 サイズ 1608 [mm] 定格電圧 6.3[Vdc] 印加電圧 3.0[Vdc]

More information

3. クランプメータの外観代表的なデジタルクランプメータの外観を示す 本体は開閉式の CT ( トランスコア ) 部 ファンクションスイッチ部 表示部 電圧 抵抗入力端子部から構成されており CT 部を除いては一般のマルチメータとほとんど変わりない この CT 部は先端が開閉できるような構造になって

3. クランプメータの外観代表的なデジタルクランプメータの外観を示す 本体は開閉式の CT ( トランスコア ) 部 ファンクションスイッチ部 表示部 電圧 抵抗入力端子部から構成されており CT 部を除いては一般のマルチメータとほとんど変わりない この CT 部は先端が開閉できるような構造になって 技術コーナー クランプメータによる電流計測について 共立電気計器株式会社国内営業部第一営業グループ東京オフィス主任日下亮一 1. はじめにクランプメータは 現場での電流測定にはなくてはならない非常に重要な測定器である 今回はそのクランプメータについて 測定原理 特長及び応用方法を解説することにより 目的に応じたクランプメータの選択方法 また最近の製品動向について 理解を深めていただければと考える 2.

More information

スライド 1

スライド 1 電気情報通信学会 変調 ADC を用いたモータ駆動用 ディジタル信号処理方式の検討 群馬大学 : 小堀 古谷 山田 佐藤 田浦 森 光野 小林 ( 和 ) 小林 ( 春 ) ルネサステクノロジ : 鴻上 黒岩 黒澤 1 背景 1. 背景と目的 2. 回路構成と提案方式 3. 変調 ADCとディジタル制御方式 4. リア デシメーションフィルタ方式 5. シミュレーション結果 6. 結論 2 Areal

More information

3. 測定方法 測定系統図 測定風景写真

3. 測定方法 測定系統図 測定風景写真 ワンセグ切り出し方式室内実験結果 北日本放送株式会社 目的 ワンセグ切り出し方式の 固定受信への影響軽減 を検証 1. 内容 SFN 干渉による固定受信への影響について以下を測定し比較する フルセグ( 希望波 ) にフルセグ ( 再送信波 ) が重なった時の (B 階層 ) のC/N フルセグ( 希望波 ) にワンセグ切り出し ( 再送信波 ) が重なった時の (B 階層 ) のC/N 2. 被測定装置

More information

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc

Microsoft Word - TC4538BP_BF_J_2002_040917_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC438BP,TC438BF TC438BP/TC438BF Dual Precision Retriggerable/Resettable Monostable Multivibrator は リトリガ動作 リセット動作の可能な単安定マルチバイブレータでトリガは A B 2 つの入力により立ち上がり および立ち下がりのどちらでも行うこともできます

More information