アジェンダ 前編 1. イントロダクション 2. 大きさ を表すデシベル (db) と dbm の考え方 3. dbm をちょっと基本クイズで考える 4. db に関連して出てくる用語 5. 電圧と電流は伝送線路内を波として伝わっていく 後編 6. 伝送線路と特性インピーダンス 7. 電圧と電流が反

Size: px
Start display at page:

Download "アジェンダ 前編 1. イントロダクション 2. 大きさ を表すデシベル (db) と dbm の考え方 3. dbm をちょっと基本クイズで考える 4. db に関連して出てくる用語 5. 電圧と電流は伝送線路内を波として伝わっていく 後編 6. 伝送線路と特性インピーダンス 7. 電圧と電流が反"

Transcription

1 The World Leader in High Performance Signal Processing Solutions 高速アナログ回路技術の基本を正しく理解して正しく設計する ( 後編 ) アナログ デバイセズ株式会社石井聡

2 アジェンダ 前編 1. イントロダクション 2. 大きさ を表すデシベル (db) と dbm の考え方 3. dbm をちょっと基本クイズで考える 4. db に関連して出てくる用語 5. 電圧と電流は伝送線路内を波として伝わっていく 後編 6. 伝送線路と特性インピーダンス 7. 電圧と電流が反射する 反射係数 8. 低雑音設計で重要な NF( ノイズ フィギア ) の理解 9. 実際のデータシートを引用した用語の意味合い 2 Analog Devices Proprietary Information

3 3 その 1 前編 も是非ご覧ください

4 6. 高周波信号が伝わるときに考慮すべき伝送線路と特性インピーダンス 高周波信号は信号の変動 ( 周波数 ) に対して 信号がつたわる経路 ( ケーブルやパターン ; 伝送線路 ) の長さが無視できなくなります そのため経路上で信号を波として考える必要があります 特性インピーダンスは信号を波と考えるときに必要な概念です 信号を 波 と考えることでうごきを理解できます 4 Analog Devices Proprietary Information

5 実際のケーブルやプリント基板は伝送線路 同軸ケーブル 高速デジタル信号のプリント基板 ( 最近は インピーダンス コントロール基板 というものを使うことも多い ) 5 イーサネット ケーブル 高周波回路 ( マイクロ ストリップ ライン )

6 特性インピーダンス Z = 50Ω はこんなイメージでは無い 6 Analog Devices Proprietary Information

7 インピーダンス コントロール基板の実例 TDR 測定ポイント 基板の捨て部分に用意する写真のようなテストクーポンを TDR (Time Domain Reflectometer) 法と呼ばれる技術で測定し その測定波形をもって正しい状態に基板が出来上がっているかを確認します 信号伝送パターン W プリント基板の絶縁体 ( 誘電体 ) H インピーダンスコントロール基板のテストクーポン ( 提供甲斐エレクトロニクス ) ベタパターン 特性インピーダンスは W と H と誘電率で決まります 7

8 なぜ特性インピーダンス インピーダンスコントロールが必要か 以降に示していきますが 特性インピーダンスは 電圧と電流が 波 としてパターンやケーブルを伝わる このときの電圧と電流の一定関係 ケーブル内部に抵抗成分があるわけではない 電圧と電流が 波 としてパターンやケーブルを伝わるので 特性インピーダンスと負荷抵抗の大きさが同じ ( マッチング ) していないと 負荷抵抗のところで電圧と電流の 波 が反射してくる つまり波形が乱れたり きちんと電力を伝えられなくなる 8 Analog Devices Proprietary Information

9 電圧 [V] 電圧や電流は伝送線路内を波として移動していく f=50mhz 波長は 4m になる 位相速度が m/s のため 波長は 6m では無い m@1nsだけ進んでいる -10 位相速度 m/sで負荷側に進んでいる 電流も同じ 同軸ケーブル上の位置 [m] 負荷抵抗 9 Analog Devices Proprietary Information 周波数 50MHz 1nsごとに表示 位相速度というものがあり 光速ではないここでは m/s( 一般に使われる同軸ケーブルでの位相速度 )

10 デジタル信号が伝わるようすも同様 進む波 ( たとえば 5V) デジタル信号も波として移動 進む波 信号源 10

11 信号が伝わるのはロープ上を波が伝わるのと同じ イメージ実験をしてみましょう Sec. 7 の反射係数の様子もわかります 1 ロープを繰り返し振り 波が伝わるようす ( 連続波 ) を確認します 2 ロープをひと振りして波が伝わるようす ( パルス デジタル信号 ) を確認します 3 1 および 2 から電気信号の伝わるようすを思い描いてみてください 11 Analog Devices Proprietary Information

12 電流 [A] 電圧 [V] 線路内を 10V, 0.2A が伝わっていくのが特性インピーダンス 50Ω 波として移動していく電圧と電流との相互関係 ( オームの法則 ) が特性インピーダンス 内部に 50Ω の抵抗成分があるわけではありません この図は周波数 50MHz 横軸は位置 [m] です! 同軸ケーブル上の位置 [m] 実効値 10V 実効値 0.2A 全ての位置で 電圧 / 電流 =50Ω の関係が成立している 位相速度 m/s 位相速度 m/s 同軸ケーブル上の位置 [m] 12 Analog Devices Proprietary Information

13 デジタル信号の電圧と電流も特性インピーダンスで関連付けられる 進む波 ( たとえば 5V) デジタル信号も波として移動 進む波 ( たとえば 0.1 A) 信号源 13

14 特性インピーダンスに関連して出てくる用語 インピーダンス : 抵抗素子 + コイル + コンデンサの電流の流れにくさ 抵抗素子では 抵抗量 と同じ コイルは電流の流れにくさ ( リアクタンス ) は 周波数に比例 コンデンサは電流の流れにくさ ( リアクタンス ) は 周波数に反比例 特性インピーダンスは この インピーダンス から派生した用語 以下については次の章で説明します 反射係数 S パラメータ ミスマッチ スミスチャート 14 Analog Devices Proprietary Information

15 6. まとめ 電圧や電流は伝送線路内を波として移動していきます 波として移動していく電圧と電流との相互 ( オームの法則 ) 関係が特性インピーダンス 15 Analog Devices Proprietary Information

16 7. 負荷抵抗が合わないと電圧と電流が反射する 反射係数 高周波信号は信号 ( 電圧 電流 ) の変動が伝わる速度に比べ早いので 信号が 波 として伝わります 負荷抵抗を適切に処理 ( マッチングさせる ) しないと 信号が反射してトラブルが生じてしまいます 信号の乱れのトラブルを 波の反射 という理解で解決して頂ければと思います 16 Analog Devices Proprietary Information

17 反射のようすを最初はデジタル信号で図式的に理解する 進む波 ( たとえば 5V) 進む波 信号源 ( たとえば 0.1A) デジタル信号も波として移動 進む波と反射する波の比率は電流 電圧ともども 17 デジタル信号も波として反射 反射係数 ( たとえば 5V 0.41) ( たとえば 0.1A 0.41) 反射して戻る波 ( たとえば R L =120Ω なら 0.41) これをミスマッチと呼びます

18 信号の反射をロープ上を波が伝わるので実験してみる イメージ実験をしてみましょう 2 1 ロープを繰り返し振り 波が伝わるようす ( 連続波 ) と反射してくるようすを確認します 2 ロープをひと振りして波が伝わるようす ( パルス デジタル信号 ) と反射してくるようすを確認します 3 1 および 2 から電気信号の反射するようすを思い描いてみてください 18 Analog Devices Proprietary Information

19 電圧 [V] 電圧 [V] 電圧 [V] 連続した正弦波の進む波と戻る波の合成が各部の電圧と電流 10m = 2.5 波長 信号源はピーク値 2V m@1nsだけ 2 進んでいる m@1nsだけ 2 戻っている 戻る波 進む波 ミスマッチ状態 この図は電圧を例にして表記している進む波は ピーク値 1V ( ピークからピークは 2V 実効値 0.7V) 合成した波は振幅が変化しています 同軸ケーブル上の位置 [m]

20 連続した正弦波では各ポイントごとの電圧 電流を見ると等価的な抵抗 コイル コンデンサの回路に見える 信号源 信号源はピーク値 2V 1/8 波長 0.5m 1/8 波長 0.5m 1/8 波長 0.5m 電圧 1.1V 電流 22mA 位相 V/I = 50Ω 電圧 0.6V 電流 28mA 位相 0 V/I = 21Ω 電圧 1.1V 電流 22mA 位相 V/I = 50Ω 電圧 1.4V 電流 12mA 位相 0 V/I = 120Ω ここでは V/I は p-p で示してあります j 35.2 (112nH) j 35.2 (90pF) 周波数 50MHz で考えている 位相は電流の位相 リアクタンスは周波数で変化するので注意

21 電圧 [V] 連続した正弦波で反射がある場合 移動しない 定在波 という波の山谷ができる 移動しない谷ができる 移動しない山ができる 同軸ケーブル上の位置 [m] 周波数 50MHz 位相速度は光速の 66% 反射係数 0.33 進行波の波高 10V 21 Analog Devices Proprietary Information

22 さらに信号源インピーダンスも異なっていると ( デジタル信号の例 ) 負荷が特性インピーダンスに合っていないと そこで電圧と電流が反射します信号源のインピーダンスも異なっていると そこでも反射します ( 多重反射 ) 進む波 進む波 反射して戻る波 またまた反射して進む波 多重反射 反射して戻る波 またまた反射して進む波 信号源 負荷端 最後は 反射して戻る波 に落ち着く 22

23 望まない反射 の止めかた きちんと終端抵抗 ( ターミネータ ) を入れます 高周波回路の例 ハイスピードデジタル回路の例 長距離伝送 (RS-485) の例 途中にロス ( アッテネータ ) を入れます ( 信号は小さくなる ) 23 高周波回路の例 それほどパターン長の長くないハイスピードデジタル回路の例

24 7. 負荷抵抗が合わないと電圧と電流が反射する 反射係数 7-2 デジタル信号伝送を例にして 24 Analog Devices Proprietary Information

25 ポイント to ポイントのデジタル伝送の場合 USB 2.0 や LVDS などでは両端終端 しかし汎用デジタル信号では 送端 ( 信号源 ) 終端 つまり 近端終端のみ でもポイント to ポイントの場合は問題ありません 近端に戻ってきたときの再反射が無いから 次のスライドでデジタル回路でのシミュレーション結果を示します ポイント to マルチポイントの場合は問題あり 途中では波形は ( いずれにしても ) 乱れている 25

26 PtoP の例を高速デジタル信号でシミュレーション 1 送端 ( 近端 ) 観測 負荷端 ( 遠端 ) 観測 送端 ( 近端 ) 終端 負荷端 ( 遠端 ) 未終端相当 26 2m の 50Ω 同軸ケーブルに相当

27 PtoP の例を高速デジタル信号でシミュレーション 2 送端 ( 近端 ) 観測こちらは暴れているが 負荷端 ( 遠端 ) 観測こちらは暴れていない ( 負荷端は問題ない ) 27

28 高速デジタル差動伝送の実際 1 ターミネータ ターミネータ USB 2.0 の回路例 (480Mbps) LVDS, シリアル ATA, IEEE1394, USB 2.0 も伝送線路 28 Analog Devices Proprietary Information

29 高速デジタル差動伝送の実際 2 信号伝送パターン + 駆動側 W この間で差動特性インピーダンスを定義します 前のスライドだと 90Ω W 信号伝送パターン - 駆動側 プリント基板の絶縁体 ( 誘電体 ) H + ベタパターン + 極性が逆の同じ信号 29 Analog Devices Proprietary Information

30 7. 負荷抵抗が合わないと電圧と電流が反射する 反射係数 7-3 関連して出てくる用語 30 Analog Devices Proprietary Information

31 関連して出てくる用語 1 S( スキャッタ ; 散乱 ) パラメータ S 21 簡単にいうと増幅率 出力 / 入力の比率 進む波 S 11 簡単にいうと入力側の反射係数 戻る波 / 入力波 ( 電圧同士もしくは電流同士 ) の比率 入力波 反射して戻る波 AMP 進む波 入力波 ポート 1 ポート 2 反射して戻る波 S 22 簡単にいうと出力側の反射係数 出力に無理やり信号を突っ込んだときの 戻る波 / 突っ込んだ量の比率 S 12 簡単にいうと漏れ率 出力に無理やり信号を突っ込んだときの 入力側に漏れ出した量 / 突っ込んだ量の比率 31 Analog Devices Proprietary Information

32 関連して出てくる用語 2 スミスチャート ケーブル上の測定位置が変わると反射係数の位相が変化する 反射係数の大きさと位相 この線は横が抵抗 縦 ( 円 ) がリアクタンス スミスチャートは反射係数と実際のインピーダンスの間をつなぐもの その他の計算もグラフ上で可能なのでとても便利 難しい話は抜きにしてもスミスチャートがどんなものかを理解していただければ OK! 32

33 関連して出てくる用語 3 リターンロス インサーションロス ミスマッチロス どれだけ反射してくる波が小さいか S11 と関係する 50Ω( 規格化インピーダンス ) に近い ( 反射が小 マッチングしている ) と -db 値がマイナス側に大きくなる ( 値として大きくなる ) たとえば反射がゼロだと - 無限大 db スイッチなどで どれだけ信号が出力側に到達するか ロスの値が大きいとスイッチの性能が悪い マッチングしていないと信号が反射するため マッチングしていないことで どれだけ信号が出力側に伝わらないかを示す 33 Analog Devices Proprietary Information

34 電圧 [V] 関連して出てくる用語 4 VSWR, SWR 移動しない谷ができる 移動しない山ができる 同軸ケーブル上の位置 [m] 周波数 50MHz 位相速度は高速の 66% 反射係数 0.33 進行波波高 10V 34 Analog Devices Proprietary Information

35 7. まとめ 負荷抵抗 = 特性インピーダンス ( マッチング状態 ) でないと 負荷で信号が反射 信号が反射すると ケーブルの各点で見かけ上のインピーダンスが 場所ごとに変動する デジタル信号では 信号源でも反射がおきて リンギングのように信号が暴れることも多い ( 多重反射 ) ここまでは 負荷抵抗が合わない という視点で説明したが 負荷抵抗に等しい 特性インピーダンスのケーブル パターンで信号を伝送することも大切 35 Analog Devices Proprietary Information

36 8. 低雑音設計で重要な NF( ノイズ フィギア ) の理解 受信機の感度を左右する アンプの低雑音性能を示す数値です 低い周波数のアンプではあまり出てきませんが ハイスピード信号を扱うアンプでは この高周波設計的な用語でだいたい議論します ぜひ覚えていただくとよいと思います 36

37 NF はアンプ自身がどれだけ低ノイズかを示すもの アンプに入力する信号の電力の SN 比 (SNin) 信号入力 AMP 利得 G アンプから増幅されて出力された アンプ自体の雑音も含んだ 信号の電力の SN 比 (SNout) 信号出力 db に変換して これで話し合うことが一般的 SN out ではアンプ自体の雑音が足しあわされるため SN in の方が必ず良いそのため NF は 1 以上になります アンプ自体が雑音を出さなければ SN in = SN out そのときは NF は 1( ベスト db だと 0dB) になります そのためアンプが低雑音であれば NF は小さくなります 37 Analog Devices Proprietary Information

38 複数接続された場合 初段アンプが NF を決定する 信号入力 AMP1 利得 G 1 NF 1 AMP2 利得 G 2 NF 2 AMP3 利得 G 3 NF 3 信号出力 これが支配的になる G 1 で割られている つまり小さくなる G 1 G 2 で割られている つまりさらに小さくなる 電力で考えます また上記の式の計算は db ではありません 数式ではよくわからない というために 次のスライド参照 38 Analog Devices Proprietary Information

39 イメージで理解してみる いくら高級アンプで増幅しても SN が悪い! 信号入力 レコードに録音し それを再生 とても低ノイズの高級アンプ 信号出力 信号入力 これが支配的になることがわかる CD に録音し それを再生 とても低ノイズの高級アンプ 信号出力 39 信号入力 信号出力

40 NF に関連して出てくる用語 フロントエンド LNA カスケード接続 受信回路の一番あたまのところ アンテナから入ってきた信号が処理されるあたり 以下の LNA がある ここまでの説明のように NF に一番影響を与える部分 Low Noise Amp. エルエヌエーという 上記のフロントエンドに使われる低雑音なアンプのことを特にこう言う 前のスライドのように直列に接続すること ( 高周波回路に限らず他でもよく用いられる ) 40 Analog Devices Proprietary Information

41 9. 実際のデータシートを引用した用語の意味合い ここまで説明した技術用語が 実際のアナログ デバイセズの製品データシートで どのように表記されているかを復習をかねてご説明してみます 41

42 1 可変ゲインアンプ ADL Analog Devices Proprietary Information

43 P1dBin P1dBin IP3 NF Return Loss 43 Analog Devices Proprietary Information

44 S 11 のスミスチャート S 22 のスミスチャート S 11 のリターンロス S 22 のリターンロス 44

45 2 ログディテクタ AD Analog Devices Proprietary Information

46 46 これは少し意味が違いますが

47 3 スイッチ ADG Analog Devices Proprietary Information

48 Return Loss P1dB IP3 48 Analog Devices Proprietary Information

49 全体のまとめ ちょっと特殊な 高速アナログ回路や高周波回路の 基礎的な意味合い をご説明 高速アナログ回路技術の基本をご説明 正しく理解して 正しく設計することが肝要 基本となる用語や意味合いが そのイメージで わかるだけでも 開発業務がスムース 49 Analog Devices Proprietary Information

50

PowerPoint Presentation

PowerPoint Presentation The World Leader in High Performance Signal Processing Solutions 最近のプリント基板で生じがちなトラブル対策に必要な知識 アナログ デバイセズ株式会社石井聡 2014 年 12 月 13 日 アジェンダ 1. 回路実現でトラブルを生じさせない 基本中の基本 2. 最近注意すべきトラブル 基板上 ( 層間 ) で生じる容量 3. マイコン回路とAD

More information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information The World Leader in High Performance Signal Processing Solutions SPICE ツールで適切な周波数特性と異常発振しない OP アンプ回路を実現する 基礎編 アナログ デバイセズ株式会社石井聡 1 アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

スライド 1

スライド 1 アナログ検定 2014 1 アナログ検定 2014 出題意図 電子回路のアナログ的な振る舞いを原理原則に立ち返って解明できる能力 部品の特性や限界を踏まえた上で部品の性能を最大限に引き出せる能力 記憶した知識や計算でない アナログ技術を使いこなすための基本的な知識 知見 ( ナレッジ ) を問う問題 ボーデ線図などからシステムの特性を理解し 特性改善を行うための基本的な知識を問う問題 CAD や回路シミュレーションツールの限界を知った上で

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

. 素子の定格 (rating) と絶対最大定格 (absolute maximum rating ). 定格値とは定格とは, この値で使ってください という推奨値のことで, それ以外の数値で使うと性能を発揮できなかったり破損する可能性があります. ふつうは示された定格通りの値で使用します.. 絶対

. 素子の定格 (rating) と絶対最大定格 (absolute maximum rating ). 定格値とは定格とは, この値で使ってください という推奨値のことで, それ以外の数値で使うと性能を発揮できなかったり破損する可能性があります. ふつうは示された定格通りの値で使用します.. 絶対 生産システム工学科 年後期必修 単位 : センシング演習基礎第 回 素子の最大定格と分圧回路の計算 講義の必要性 学習意義, 習得していないと困ること 電気回路の理論では, 例えば 5V の電源に Ω の抵抗をつなぐと.5A の電流が流れる. これは 理論 であるから, すべての素子が理想特性を持っている前提である. しなしながら, 実際には簡単に思いつくだけでも, 電源 ( 器 ) が.5A の電流を出力できるかどうか,

More information

Microsoft Word - SPARQアプリケーションノートGating_3.docx

Microsoft Word - SPARQアプリケーションノートGating_3.docx SPARQ を使用したフィクスチャの S パラメータ抽出 TECHNICAL BRIEF 伊藤渉 Feb 3, 2014 概要 SMA や K コネクタ等ではない非同軸タイプのコネクタを使用する DUT をオシロスコープで測定するにはコネクタの変換の為にフィクスチャを使用します このフィクスチャの伝送特性を差し引き DUT のみの特性を求めたい場合 フィクスチャの伝送特性を抽出することは通常では困難です

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2

第 4 週コンボリューションその 2, 正弦波による分解 教科書 p. 16~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問 1. 以下の図にならって,1 と 2 の δ 関数を図示せよ δ (t) 2 第 4 週コンボリューションその, 正弦波による分解 教科書 p. 6~ 目標コンボリューションの演習. 正弦波による信号の分解の考え方の理解. 正弦波の複素表現を学ぶ. 演習問題 問. 以下の図にならって, と の δ 関数を図示せよ. - - - δ () δ ( ) - - - 図 δ 関数の図示の例 δ ( ) δ ( ) δ ( ) δ ( ) δ ( ) - - - - - - - -

More information

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package)

p.3 p 各種パラメータとデータシート N Package Power Dissipation 670mW ( N Package) p.1 p.2 3. オペアンプ回路の基礎 3.1.2 理想オペアンプ Vcc A: Open Loop Gain 3.1 オペアンプとは ~ 計測基礎回路 ~ 1 2 Zin Zout =A(12) Vcc 理想条件下のオペアンプは上記のような等価回路として考えることができる 1. 2. 3. 4. 一般的な回路記号 新 JIS 記号 5. 6. 市販製品外観例 内部の構成回路例 (NJM4580DD)

More information

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周

(3) E-I 特性の傾きが出力コンダクタンス である 添え字 は utput( 出力 ) を意味する (4) E-BE 特性の傾きが電圧帰還率 r である 添え字 r は rrs( 逆 ) を表す 定数の値は, トランジスタの種類によって異なるばかりでなく, 同一のトランジスタでも,I, E, 周 トランジスタ増幅回路設計入門 pyrgt y Km Ksaka 005..06. 等価回路についてトランジスタの動作は図 のように非線形なので, その動作を簡単な数式で表すことができない しかし, アナログ信号を扱う回路では, 特性グラフのの直線部分に動作点を置くので線形のパラメータにより, その動作を簡単な数式 ( 一次式 ) で表すことができる 図. パラメータトランジスタの各静特性の直線部分の傾きを数値として特性を表したものが

More information

周波数特性解析

周波数特性解析 周波数特性解析 株式会社スマートエナジー研究所 Version 1.0.0, 2018-08-03 目次 1. アナログ / デジタルの周波数特性解析................................... 1 2. 一巡周波数特性 ( 電圧フィードバック )................................... 4 2.1. 部分周波数特性解析..........................................

More information

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X (

例 e 指数関数的に減衰する信号を h( a < + a a すると, それらのラプラス変換は, H ( ) { e } e インパルス応答が h( a < ( ただし a >, U( ) { } となるシステムにステップ信号 ( y( のラプラス変換 Y () は, Y ( ) H ( ) X ( 第 週ラプラス変換 教科書 p.34~ 目標ラプラス変換の定義と意味を理解する フーリエ変換や Z 変換と並ぶ 信号解析やシステム設計における重要なツール ラプラス変換は波動現象や電気回路など様々な分野で 微分方程式を解くために利用されてきた ラプラス変換を用いることで微分方程式は代数方程式に変換される また 工学上使われる主要な関数のラプラス変換は簡単な形の関数で表されるので これを ラプラス変換表

More information

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht

第 5 章復調回路 古橋武 5.1 組み立て 5.2 理論 ダイオードの特性と復調波形 バイアス回路と復調波形 復調回路 (II) 5.3 倍電圧検波回路 倍電圧検波回路 (I) バイアス回路付き倍電圧検波回路 本稿の Web ページ ht 第 章復調回路 古橋武.1 組み立て.2 理論.2.1 ダイオードの特性と復調波形.2.2 バイアス回路と復調波形.2.3 復調回路 (II).3 倍電圧検波回路.3.1 倍電圧検波回路 (I).3.2 バイアス回路付き倍電圧検波回路 本稿の Web ページ http://mybook-pub-site.sakura.ne.jp/radio_note/index.html 1 C 4 C 4 C 6

More information

s と Z(s) の関係 2019 年 3 月 22 日目次へ戻る s が虚軸を含む複素平面右半面の値の時 X(s) も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z(s) にしていま す リアクタンス回路の駆動点リアクタンス X(s)

s と Z(s) の関係 2019 年 3 月 22 日目次へ戻る s が虚軸を含む複素平面右半面の値の時 X(s) も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z(s) にしていま す リアクタンス回路の駆動点リアクタンス X(s) と Z の関係 9 年 3 月 日目次へ戻る が虚軸を含む複素平面右半面の値の時 X も虚軸を含む複素平面右半面の値でなけれ ばなりません その訳を探ります 本章では 受動回路をインピーダンス Z にしていま す リアクタンス回路の駆動点リアクタンス X も Z に含まれます Z に正弦波電流を入れた時最大値 抵抗 コイル コンデンサーで作られた受動回路の ラプラスの世界でのインピーダンスを Z とします

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

Microsoft PowerPoint - 受信機.ppt[読み取り専用]

Microsoft PowerPoint - 受信機.ppt[読み取り専用] 受信機 1. 直線受信機 2. スーパヘテロダイン受信機 受信機 1.AM 受信機 DSB 受信機 SSB 受信機 2.FM 受信機 高周波増幅器 アンテナで受信した希望周波数 f s を増幅する 周波数変換回路 混合器と局部発振器からなり 高周波増幅された信号を中間周波数に変換する 局部発振器 スーパヘテロダイン受信機の局部発信周波数は受信周波数より中間周波数だけ高く ( 低く ) 設定する 混合器

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

Microsoft PowerPoint - 第06章振幅変調.pptx

Microsoft PowerPoint - 第06章振幅変調.pptx 通信システムのモデル コミュニケーション工学 A 第 6 章アナログ変調方式 : 振幅変調 変調の種類振幅変調 () 検波出力の信号対雑音電力比 (S/N) 送信機 送信メッセージ ( 例えば音声 ) をアナログまたはディジタル電気信号に変換. 変調 : 通信路で伝送するのに適した周波数帯の信号波形へ変換. 受信機フィルタで邪魔な雑音を除去し, 処理しやすい電圧まで増幅. 復調 : もとの周波数帯の電気信号波形に変換し,

More information

図 2.Cat2 ケーブルの減衰特性 通常伝送線路の減衰特性は 1-1) 式のように 3つのパラメータで近似されます DC 抵抗表皮効果誘電損失 A + f*b + f*c 1-1) ところが仕様書の特性を見ると0~825MHz までは-5dB でフラット 5.1GHz までは直線的な減衰になってい

図 2.Cat2 ケーブルの減衰特性 通常伝送線路の減衰特性は 1-1) 式のように 3つのパラメータで近似されます DC 抵抗表皮効果誘電損失 A + f*b + f*c 1-1) ところが仕様書の特性を見ると0~825MHz までは-5dB でフラット 5.1GHz までは直線的な減衰になってい LTSPICE による HDMI コンプライアンステストシミュレーション シグナル工房 : www.signalkhobho.com 野田敦人 LTSPICE はリニアテクノロジー社のノード制限のないフリーの SPICE 解析ツールです これまで LTSPICE でサポートされている伝送線路モデルは無損失の TLINE か一定損失の LTLINE であるため 広帯域の周波数特性が必要なタイムドメインのアイパターンシミュレーションには使われてきませんでした

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

Microsoft PowerPoint - ch3

Microsoft PowerPoint - ch3 第 3 章トランジスタと応用 トランジスタは基本的には電流を増幅することができる部品である. アナログ回路では非常に多くの種類のトランジスタが使われる. 1 トランジスタの発明 トランジスタは,1948 年 6 月 30 日に AT&T ベル研究所のウォルター ブラッテン ジョン バーディーン ウィリアム ショックレーらのグループによりその発明が報告され, この功績により 1956 年にノーベル物理学賞受賞.

More information

オペアンプの容量負荷による発振について

オペアンプの容量負荷による発振について Alicatin Nte オペアンプシリーズ オペアンプの容量負荷による発振について 目次 :. オペアンプの周波数特性について 2. 位相遅れと発振について 3. オペアンプの位相遅れの原因 4. 安定性の確認方法 ( 増幅回路 ) 5. 安定性の確認方法 ( 全帰還回路 / ボルテージフォロア ) 6. 安定性の確認方法まとめ 7. 容量負荷による発振の対策方法 ( 出力分離抵抗 ) 8. 容量負荷による発振の対策方法

More information

Microsoft Word - 2_0421

Microsoft Word - 2_0421 電気工学講義資料 直流回路計算の基礎 ( オームの法則 抵抗の直並列接続 キルヒホッフの法則 テブナンの定理 ) オームの法則 ( 復習 ) 図 に示すような物体に電圧 V (V) の直流電源を接続すると物体には電流が流れる 物体を流れる電流 (A) は 物体に加えられる電圧の大きさに比例し 次式のように表すことができる V () これをオームの法則 ( 実験式 ) といい このときの は比例定数であり

More information

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R

第 11 回 R, C, L で構成される回路その 3 + SPICE 演習 目標 : SPICE シミュレーションを使ってみる LR 回路の特性 C と L の両方を含む回路 共振回路 今回は講義中に SPICE シミュレーションの演習を併せて行う これまでの RC,CR 回路に加え,L と R 第 回,, で構成される回路その + SPIE 演習 目標 : SPIE シミュレーションを使ってみる 回路の特性 と の両方を含む回路 共振回路 今回は講義中に SPIE シミュレーションの演習を併せて行う これまでの, 回路に加え, と を組み合わせた回路, と の両方を含む回路について, 周波数応答の式を導出し, シミュレーションにより動作を確認する 直列回路 演習問題 [] インダクタと抵抗による

More information

<4D F736F F F696E74202D2091E F12D96B390FC92CA904D82D682CC899E97702E707074>

<4D F736F F F696E74202D2091E F12D96B390FC92CA904D82D682CC899E97702E707074> 電磁波工学 第 11 回無線通信システムと回線設計 ( 固定局通信 移動体通信 衛星通信 ) 講義ノート 柴田幸司 無線通信と回線設計 無線機器の信号 ( 電磁波 ) を空間に放射し 情報 ( デジタル アナログ ) を伝送する手法 --- 通信方式 ( 送受信点による分類 )--- 固定通信 マイクロ波 中継回線 (4,5,6G), 携帯電話のエントランス回線 (TV ラジオ放送) 移動体通信 携帯電話

More information

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と

フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 と フィードバック ~ 様々な電子回路の性質 ~ 実験 (1) 目的実験 (1) では 非反転増幅器の増幅率や位相差が 回路を構成する抵抗値や入力信号の周波数によってどのように変わるのかを調べる 実験方法 図 1 のような自由振動回路を組み オペアンプの + 入力端子を接地したときの出力電圧 が 0 となるように半固定抵抗器を調整する ( ゼロ点調整のため ) 図 1 非反転増幅器 2010 年度版物理工学実験法

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

Microsoft Word - プロービングの鉄則.doc

Microsoft Word - プロービングの鉄則.doc プロービングの鉄則 基礎編 測定点とオシロスコープをどうやって接続するか?/ プロービング ノウハウが必要な理由 オシロスコープの精度って? まずは 標準プローブを使いこなす ~ プローブ補正で よくある 5 つの失敗例 ~ 1. 補正したプローブは他のスコープでそのまま使える? 2. アースはつながっていれば OK? 3. 安いプローブで十分? 4. トラブル シュートのために プローブを接続したら

More information

半分冗談 ( 常識 先入観 ) ここからの話は半分冗談と思って聞いてください まじめに聞かないでください ほんまでっか という暖かい目でみてください 質問 鎌倉幕府の成立年度は?(1192 年ではありません ) 確信犯 の意味は?( 正解率 15% 文部省 ) 知らないフリして わざと行うことではあ

半分冗談 ( 常識 先入観 ) ここからの話は半分冗談と思って聞いてください まじめに聞かないでください ほんまでっか という暖かい目でみてください 質問 鎌倉幕府の成立年度は?(1192 年ではありません ) 確信犯 の意味は?( 正解率 15% 文部省 ) 知らないフリして わざと行うことではあ 次世代パワーアンプ 小野寺康幸 e 電子工房 http://einstlab.web.fc2.com 1 半分冗談 ( 常識 先入観 ) ここからの話は半分冗談と思って聞いてください まじめに聞かないでください ほんまでっか という暖かい目でみてください 質問 鎌倉幕府の成立年度は?(1192 年ではありません ) 確信犯 の意味は?( 正解率 15% 文部省 ) 知らないフリして わざと行うことではありません

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電磁波工学 第 9 回アンテナ ( 基本性質 利得 インピーダンス整合 指向性 実効長 ) 柴田幸司 講義ノート アンテナとは 無線機器の信号 ( 電磁波 ) を空間に効率よく放射したり 空間にある電磁波を無線機器に導くための部品 より長距離での通信の為 非共振型アンテナ ホーン ( ラッパ ) パラボラレンズ 非共振型アンテナの動作原理 ホーンアンテナ 導波路がテーパ状に広がることにより反射させることなく開口面まで伝搬させ

More information

第 13 回 RCL 回路のまとめ,SPICE 演習その 2, 分布定数回路 目標 : RCL 回路のまとめ SPICE 演習その2 ケーブル 配線と分布定数回路 電気回路 の講義では, コンピュータに関連する電子回路や論理回路の分野で必要な知識を学んだ その際, 学生の弱点と考えられる, 数式数式

第 13 回 RCL 回路のまとめ,SPICE 演習その 2, 分布定数回路 目標 : RCL 回路のまとめ SPICE 演習その2 ケーブル 配線と分布定数回路 電気回路 の講義では, コンピュータに関連する電子回路や論理回路の分野で必要な知識を学んだ その際, 学生の弱点と考えられる, 数式数式 第 13 回 回路のまとめ,SPIE 演習その, 分布定数回路 目標 : 回路のまとめ SPIE 演習その ケーブル 配線と分布定数回路 電気回路 の講義では, コンピュータに関連する電子回路や論理回路の分野で必要な知識を学んだ その際, 学生の弱点と考えられる, 数式数式の理解理解に基づくづく抽象的抽象的な思考能力思考能力, 回路図回路図や周波数特性周波数特性などになどに関するする図形的な思考能力思考能力

More information

目次 1. ダイナミックレンジとは 不思議な体験 三つの信号の関係 測定 ダイナミックレンジまとめ

目次 1. ダイナミックレンジとは 不思議な体験 三つの信号の関係 測定 ダイナミックレンジまとめ ハムフェアイベントコーナー JAIA タイム 2015 初心者でもわかる!? ダイナミックレンジ大研究 ~ ダイナミックレンジって何だ??~ JAIA 技術委員会 1 目次 1. ダイナミックレンジとは 3-8 2. 不思議な体験 9-15 3. 三つの信号の関係 16-21 4. 測定 22-31 5. ダイナミックレンジまとめ 32-40 2 1. ダイナミックレンジとは 3 ダイナミックレンジとは

More information

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

出力 V [V], 出力抵抗 [Ω] の回路が [Ω] の負荷抵抗に供給できる電力は, V = のとき最大 4 となる 有能電力は, 出力電圧が高いほど, 出力抵抗が小さいほど大きくなることがわかる 同様の関係は, 等価回路が出力インピーダンスを持つ場合も成立する 出力電圧が ˆ j t V e ω

出力 V [V], 出力抵抗 [Ω] の回路が [Ω] の負荷抵抗に供給できる電力は, V = のとき最大 4 となる 有能電力は, 出力電圧が高いほど, 出力抵抗が小さいほど大きくなることがわかる 同様の関係は, 等価回路が出力インピーダンスを持つ場合も成立する 出力電圧が ˆ j t V e ω 第 9 回,C, で構成される回路 目標 : 回路から取り出せる最大電力に関する補足説明回路の周波数特性 -C 一次遅れ回路 中間試験前までの講義と演習により, 素子の性質, 回路の動作を規定している法則, 複素関数による正弦波の表現とインピーダンスの概念など, 回路の動作を理解するための最低限の知識が得られた 今回は, 基礎的な概念の修得を優先して後回しにした項目の つである 回路から取り出せる最大電力

More information

スライド タイトルなし

スライド タイトルなし 第 9 回情報伝送工学 情報を持った信号の加工 ( フィルタ ) 高周波フィルタとはフィルタとは ある周波数の電磁波のみを通過させる回路 ( 部品 ) であり アンテナからの微小な信号を選択増幅するために 得に初段の増幅器前のフィルタには低損失な性能が要求される たとえば 下図におけるアンテナ直下に配置されているフィルタは アンテナから入力された信号のうち 必要な周波数帯域のみを受信回路に送り 一方送信回路から送られてきた信号を周波数の違いにより受信回路には入れず

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

s とは何か 2011 年 2 月 5 日目次へ戻る 1 正弦波の微分 y=v m sin ωt を時間 t で微分します V m は正弦波の最大値です 合成関数の微分法を用い y=v m sin u u=ωt と置きますと dy dt dy du du dt d du V m sin u d dt

s とは何か 2011 年 2 月 5 日目次へ戻る 1 正弦波の微分 y=v m sin ωt を時間 t で微分します V m は正弦波の最大値です 合成関数の微分法を用い y=v m sin u u=ωt と置きますと dy dt dy du du dt d du V m sin u d dt とは何か 0 年 月 5 日目次へ戻る 正弦波の微分 y= in を時間 で微分します は正弦波の最大値です 合成関数の微分法を用い y= in u u= と置きますと y y in u in u (co u co になります in u の は定数なので 微分後も残ります 合成関数の微分法ですので 最後に u を に戻しています 0[ra] の co 値は [ra] の in 値と同じです その先の角

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2

PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK) を用いた PLL 回路構成方法 3. PLL( 位相ロック ループ ) 回路でのトラブルとその解決技法 2 The World Leader in High Performance Signal Processing Solutions PLL アン ドゥ トロア ( その 1) PLL( 位相ロック ループ ) 回路の基本と各部動作 アナログ デバイセズ株式会社石井聡 PLL アン ドゥ トロア 3 部作の構成 1. PLL( 位相ロック ループ ) 回路の基本と各部動作 2. 設計ツール ADIsimPLL(ADIsimCLK)

More information

電流プローブと計測の基礎 (Tektronix 編 ) 電圧波形は違うのが当たり前 オームの法則 ( 図 1) により 電流は抵抗器によって電圧に変換することができます 電流波形を観測 するとき 電流経路に抵抗器を挿入し電圧に変換後 電圧波形として電圧プローブで観測する手法が あります この手法にお

電流プローブと計測の基礎 (Tektronix 編 ) 電圧波形は違うのが当たり前 オームの法則 ( 図 1) により 電流は抵抗器によって電圧に変換することができます 電流波形を観測 するとき 電流経路に抵抗器を挿入し電圧に変換後 電圧波形として電圧プローブで観測する手法が あります この手法にお 電流プローブと計測の基礎 (Tektronix 編 ) 電圧波形は違うのが当たり前 オームの法則 ( 図 1) により 電流は抵抗器によって電圧に変換することができます 電流波形を観測 するとき 電流経路に抵抗器を挿入し電圧に変換後 電圧波形として電圧プローブで観測する手法が あります この手法において陥りやすいまちがいは 抵抗器を安易に純抵抗とみなしてしまうことで す 図 1: オームの法則 十分に低い周波数

More information

2. λ/2 73Ω 36Ω 2 LF λ/4 36kHz λ/4 36kHz 2, 200/4 = 550m ( ) 0 30m λ = 2, 200m /200 /00 λ/ dB 3. λ/4 ( ) (a) C 0 l [cm] r [cm] 2 l 0 C 0 = [F] (2

2. λ/2 73Ω 36Ω 2 LF λ/4 36kHz λ/4 36kHz 2, 200/4 = 550m ( ) 0 30m λ = 2, 200m /200 /00 λ/ dB 3. λ/4 ( ) (a) C 0 l [cm] r [cm] 2 l 0 C 0 = [F] (2 JARL 36kHz 20.7.3 JA5FP/.... 36kHz ( ) = () + + 0m 00mΩ 0 00Ω 3 36kHz 36kHz 短小モノポールモノポールの設置環境 垂直なキャパシタンス 孤立キャパシタンス アンテナエレメント 短小モノポールモノポールの等価回路 浮遊容量 H 浮遊容量 電力線 L 接地抵抗 放射抵抗 対地容量 損失抵抗 損失抵抗 立木 水平なキャパシタンス 大地深部

More information

スライド 1

スライド 1 アクティブインダクタを用いた コモンモードノイズ低減フィルタ 北海道大学大学院情報科学研究科准教授池辺将之 研究背景 アナログ回路におけるインダクタ 高インダクタ部品は 外付けでサイズが大きい オンチップ用途では インダクタンスとQ 値が低い 開発目標 アクティブインダクタを用いた 小面積 チューナブルな有用回路の実現 ( 本提案 ) 増幅機能も有するコモンモードノイズ低減フィルタ アクティブインダクタ回路

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

3. 測定方法 測定系統図 測定風景写真

3. 測定方法 測定系統図 測定風景写真 ワンセグ切り出し方式室内実験結果 北日本放送株式会社 目的 ワンセグ切り出し方式の 固定受信への影響軽減 を検証 1. 内容 SFN 干渉による固定受信への影響について以下を測定し比較する フルセグ( 希望波 ) にフルセグ ( 再送信波 ) が重なった時の (B 階層 ) のC/N フルセグ( 希望波 ) にワンセグ切り出し ( 再送信波 ) が重なった時の (B 階層 ) のC/N 2. 被測定装置

More information

測定器の持つ誤差 と 使い方による誤差

測定器の持つ誤差 と 使い方による誤差 計測展 2007 チュートリアル Part2 Page 1 はじめに 測定器は高機能で便利になっている測定器は複雑化して 原理が見えにくくなっている 測定器が Black Box 化している 最も単純な例を中心に基本的な内容を解説する抵抗 1~2 本の回路をマルチ メータで測定する Page 2 講演の概要 1) 測定器の持つ誤差と使い方による誤差 抵抗とマルチメータを中心として 2) 設計と測定の融合

More information

Microsoft Word - QEX_2014_feb.doc

Microsoft Word - QEX_2014_feb.doc QEX2 月掲載記事 GPS 同期の 10MHz-OCXO 1. はじめに様々な場面で周波数精度の高い 10MHz 基準信号が必要とされます たとえば ダブルオーブン式の OCXO を使用して ppb 級 (10 の -9 乗 ) の精度を実現することができます OCXO 以上の精度を要求する場合には ルビジウム発振器や GPS 同期の OCXO を使用します ルビジウム発振器や GPS 同期の OCXO

More information

Technical Article

Technical Article 電流信号を作り出す回路 ( 前編 ) 著者 : 藤森 弘巳 電子回路システムでは アナログ デジタル問わず 電圧 で信号を表現するケースが多いでしょう しかし信号を表すには 電流 を用いても全く同じことができるはずです 電流信号は電圧信号に比べて配線抵抗の影響を受けにくいという特長があります 本稿では 電流信号を作り出す回路 ( 前編 ) と題して OP アンプとトランジスタを利用した定電流回路 トランジスタのダーリントン接続

More information

電圧制御発振器 ( VCO) について小川謙次電気工学科に入学以来半世紀を経過し これまでアナログ デジタル ファームウェア等 種々の電子回路の開発に携わり そろそろ引退を考える年齢になりました これを機会に じんろく会の HP を見てくれるかもしれないアナログ技術者の方に VCO の設計方法を実践

電圧制御発振器 ( VCO) について小川謙次電気工学科に入学以来半世紀を経過し これまでアナログ デジタル ファームウェア等 種々の電子回路の開発に携わり そろそろ引退を考える年齢になりました これを機会に じんろく会の HP を見てくれるかもしれないアナログ技術者の方に VCO の設計方法を実践 電圧制御発振器 ( VCO) について小川謙次電気工学科に入学以来半世紀を経過し これまでアナログ デジタル ファームウェア等 種々の電子回路の開発に携わり そろそろ引退を考える年齢になりました これを機会に じんろく会の HP を見てくれるかもしれないアナログ技術者の方に VCO の設計方法を実践的に 分かりやすく記述したいと思い 本原稿を投稿しました 近年 VCO も集積回路化され PLL と同一パッケージに組み込まれるのもが多く見られ

More information

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt

Microsoft PowerPoint - ›žŠpfidŠÍŁÏ−·“H−w5›ñŒÚ.ppt 応用電力変換工学舟木剛 第 5 回本日のテーマ交流 - 直流変換半端整流回路 平成 6 年 月 7 日 整流器 (cfr) とは 交流を直流に変換する 半波整流器は 交直変換半波整流回路 小電力用途 入力電源側の平均電流が零にならない あんまり使われていない 全波整流回路の基本回路 変圧器が直流偏磁しやすい 変圧器の負荷電流に直流分を含むと その直流分により 鉄心が一方向に磁化する これにより 鉄心の磁束密度の増大

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

< 参考 > 1. 国際勧告等との関連 (1) 本標準は ITU-T 勧告 1992 年版 G.712 に準拠したものである 2. 上記国際勧告等に対する追加項目等 2.1 オプション選択項目なし 2.2 ナショナルマター項目なし 2.3 その他 (1) 本標準は 上記 ITU-T 勧告に対し 下記項目についての記述を削除している (a) 入出力ポートでの相対レベルの絶対値上記 (a) につき削除した理由は

More information

Microsoft Word - H26mse-bese-exp_no1.docx

Microsoft Word - H26mse-bese-exp_no1.docx 実験 No 電気回路の応答 交流回路とインピーダンスの計測 平成 26 年 4 月 担当教員 : 三宅 T A : 許斐 (M2) 齋藤 (M) 目的 2 世紀の社会において 電気エネルギーの占める割合は増加の一途をたどっている このような電気エネルギーを制御して使いこなすには その基礎となる電気回路をまず理解する必要がある 本実験の目的は 電気回路の基礎特性について 実験 計測を通じて理解を深めることである

More information

Microsoft PowerPoint - 基礎電気理論 07回目 11月30日

Microsoft PowerPoint - 基礎電気理論 07回目 11月30日 基礎電気理論 7 回目 月 30 日 ( 月 ) 時限 次回授業 時間 : 月 30 日 ( 月 )( 本日 )4 時限 場所 : B-3 L,, インピーダンス教科書 58 ページから 64 ページ http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 授業評価アンケート ( 中間期評価 ) NS の授業のコミュニティに以下の項目について記入してください

More information

第1章 様々な運動

第1章 様々な運動 自己誘導と相互誘導 自己誘導 自己誘導起電力 ( 逆起電力 ) 図のように起電力 V V の電池, 抵抗値 R Ω の抵抗, スイッチS, コイルを直列につないだ回路を考える. コイルに電流が流れると, コイル自身が作る磁場による磁束がコイルを貫く. コイルに流れる電流が変化すると, コイルを貫く磁束も変化するのでコイルにはこの変化を妨げる方向に誘導起電力が生じる. この現象を自己誘導という. 自己誘導による起電力は電流変化を妨げる方向に生じるので逆起電力とも呼ばれる.

More information

アクティブフィルタ テスト容易化設計

アクティブフィルタ テスト容易化設計 発振を利用したアナログフィルタの テスト 調整 群馬大学工学部電気電子工学科高橋洋介林海軍小林春夫小室貴紀高井伸和 発表内容. 研究背景と目的. 提案回路 3. 題材に利用したアクティブフィルタ 4. 提案する発振によるテスト方法 AG( 自動利得制御 ) バンドパス出力の帰還による発振 3ローパス出力の帰還による発振 4ハイパス出力の帰還による発振. 結果 6. まとめ 発表内容. 研究背景と目的.

More information

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc)

(Microsoft Word - PLL\203f\203\202\216\221\227\277-2-\203T\203\223\203v\203\213.doc) ディジタル PLL 理論と実践 有限会社 SP システム 目次 - 目次 1. はじめに...3 2. アナログ PLL...4 2.1 PLL の系...4 2.1.1 位相比較器...4 2.1.2 ループフィルタ...4 2.1.3 電圧制御発振器 (VCO)...4 2.1.4 分周器...5 2.2 ループフィルタ抜きの PLL 伝達関数...5 2.3 ループフィルタ...6 2.3.1

More information

Microsoft Word - サイリスタ設計

Microsoft Word - サイリスタ設計 サイリスタのゲート回路設計 サイリスタはパワエレ関係の最初に出てくる素子ですが その駆動用ゲート回路に関する文献が少なく 学 生が使いこなせないでいる ゲート回路の設計例 ( ノイズ対策済み ) をここに記しておく 基本的にサイリス タのゲート信号は電流で ON させるものです 1. ノイズ対策済みゲート回路基本回路の説明 図 1 ノイズ対策済みゲート回路基本回路 1.1 パルストランス パルストランスは

More information

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ

3.5 トランジスタ基本増幅回路 ベース接地基本増幅回路 C 1 C n n 2 R E p v V 2 v R E p 1 v EE 0 VCC 結合コンデンサ ベース接地基本増幅回路 V EE =0, V CC =0として交流分の回路 (C 1, C 2 により短絡 ) トランジスタ 3.4 の特性を表す諸量 入力 i 2 出力 負荷抵抗 4 端子 (2 端子対 ) 回路としての の動作量 (i) 入力インピーダンス : Z i = (ii) 電圧利得 : A v = (iii) 電流利得 : A i = (iv) 電力利得 : A p = i 2 v2 i 2 i 2 =i 2 (v) 出力インピーダンス : Z o = i 2 = 0 i 2 入力 出力 出力インピーダンスの求め方

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

db_29000.pdf

db_29000.pdf 光ファイバ db 減衰と測定の概要 目次 概要前提条件要件使用するコンポーネント表記法デシベルとは 10 を底とする対数のルール db 1 mw を基準とするデシベル (dbm) 1 W を基準とするデシベル数 (dbw) パワーおよび電圧の利得光ファイバの構造ファイバのタイプ波長光パワー挿入損失についてパワーバジェットの計算関連情報 概要 この文書は 光テクノロジーに関するいくつかの公式や重要な情報を簡潔にまとめたものです

More information

EMC 設計技術者試験問題例無断転載禁止

EMC 設計技術者試験問題例無断転載禁止 EMC 設計技術者資格練習問題 018 年 4 月 1 日 EMC 設計技術者試験問題例無断転載禁止 EMC 設計技術者試験問題例無断転載禁止 1. 定格静電容量が 1 [μf] の面実装セラミックキャパシタで 内部インダクタンスが 10 [nh] の場合 下記条件のもとで このキャパシタの自己共振周波数に近いのはいずれか 条件 サイズ 1608 [mm] 定格電圧 6.3[Vdc] 印加電圧 3.0[Vdc]

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

FdText理科1年

FdText理科1年 中学理科 2 年 : オームの法則 [ http://www.fdtext.com/dat/ ] オームの法則 [ 要点 ] 電流: 電圧に比例 ( 電圧を 2 倍にすると電流は 2 倍になる ) ていこう : 抵抗の大きさに反比例 ( 抵抗を 2 倍にすると電流は半分になる ) 公式: 電流 (A)= 電圧 (V) 抵抗 (Ω) 抵抗 (Ω)= 電圧 (V) 電流 (A) 電圧 (V)= 抵抗 (Ω)

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF

NJM2591 音声通信用ミキサ付き 100MHz 入力 450kHzFM IF 検波 IC 概要 外形 NJM259 1は 1.8 V~9.0 Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 450kHz ( 標準 ) としています 発振器 ミキサ IF 音声通信用ミキサ付き MHz 入力 45kHzFM IF 検波 IC 概要 外形 NJM59 は.8 V~9. Vで動作する低消費電流タイプの音声通信機器用 FM IF 検波 IC で IF 周波数を 45kHz ( 標準 ) としています 発振器 ミキサ IF リミッタアンプ クワドラチャ検波 フィルタアンプに加えノイズ検波回路とノイズコンパレータを内蔵しています V 特徴 低電圧動作.8V~9.V

More information

第1種映像伝送サービスの技術参考資料

第1種映像伝送サービスの技術参考資料 技術参考資料 禁無断転載複写 第 1 種映像伝送サービスの技術参考資料 第 2 版 2001 年 4 月 東日本電信電話株式会社 本資料の内容は 機能追加などにより追加 変更することがあります なお 本内容及び詳細な内容についての問い合わせは専用フォームよりお送りください 東日本電信電話株式会社ビジネス開発本部 目 次 まえがき 第 Ⅰ 編サービスの概要 1 用語の説明... 5 2 サービスの概要...

More information

2. コンデンサー 極板面積 S m 2, 極板間隔 d m で, 極板間の誘電率が ε F/m の平行板コンデンサー 容量 C F は C = ( )(23) 容量 C のコンデンサーの極板間に電圧をかけたとき 蓄えられる電荷 Q C Q = ( )(24) 蓄えられる静電エネルギー U J U

2. コンデンサー 極板面積 S m 2, 極板間隔 d m で, 極板間の誘電率が ε F/m の平行板コンデンサー 容量 C F は C = ( )(23) 容量 C のコンデンサーの極板間に電圧をかけたとき 蓄えられる電荷 Q C Q = ( )(24) 蓄えられる静電エネルギー U J U 折戸の物理 簡単復習プリント 電磁気 1 基本事項の簡単な復習電磁気 1. 電場 クーロンの法則 電気量 q1,q2 C の電荷が距離 r m で置かれているとき働く 静電気力 F N は, クーロンの法則の比例定数を k N m 2 /s 2 として 電場 F = ( )(1) 力の向きは,q1,q2 が, 同符号の時 ( )(2) 異符号の時 ( )(3) 大きさ E V/m の電場に, 電気量

More information

2006 年 11 月 6 日 新製品 パナソニックエレクトロニックデバイス株式会社業界初 ( 1) 4ダイバーシティ受信対応で地上デジタルテレビ放送を高感度受信 車載用 4 ダイバーシティ地上デジタルフロントエンド を製品化 セットの小型化 高性能化 省電力化に貢献パナソニックエレクトロニックデバ

2006 年 11 月 6 日 新製品 パナソニックエレクトロニックデバイス株式会社業界初 ( 1) 4ダイバーシティ受信対応で地上デジタルテレビ放送を高感度受信 車載用 4 ダイバーシティ地上デジタルフロントエンド を製品化 セットの小型化 高性能化 省電力化に貢献パナソニックエレクトロニックデバ 2006 年 11 月 6 日 新製品 パナソニックエレクトロニックデバイス株式会社業界初 ( 1) 4ダイバーシティ受信対応で地上デジタルテレビ放送を高感度受信 車載用 4 ダイバーシティ地上デジタルフロントエンド を製品化 セットの小型化 高性能化 省電力化に貢献パナソニックエレクトロニックデバイス株式会社 [ 社長 : 北代耿士 ] は 業界初の4ダイバーシティ受信 [1] 対応で 小形 高感度受信

More information

内容 始めに 概要 主要な機能 外観とスイッチ... 6 の使用法 スクリーンレイアウト ステータスシンボルの表示 操作方法 メインメニュー 周波

内容 始めに 概要 主要な機能 外観とスイッチ... 6 の使用法 スクリーンレイアウト ステータスシンボルの表示 操作方法 メインメニュー 周波 ベクトル インピーダンス アナライザ 改訂 1.3.2 ファームウェアバージョン 10.x に対応 製造メーカ Seeed Studio 翻訳 Rev 1.3.2-J June 1st, 2017-1- エレクトロデザイン株式会社 内容 1 2 3 始めに... 4 1.1 概要... 4 1.2 主要な機能... 5 1.3 外観とスイッチ... 6 の使用法...8 2.1 スクリーンレイアウト...

More information

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ

. 回路定数の決め方. トランス インピーダンス ゲインを決める p R 00k 5 IG 0p R 00M - F U OPA656 5 フォト ダイオードの等価回路 や,R の値は, フォトダイオードのデータシートから判断します. 図 一般的なトランス インピーダンス アンプ 図 に一般的なトラ www.tij.co.jp JAJA098 トランス インピーダンス アンプ設計の基礎 川田章弘 Field Application & Solutions, Analog Signal hain アブストラクト 本アプリケーション レポートは, 初めてトランス インピーダンス アンプを設計する人のために, 回路定数を決定する方法とアンプの雑音レベル, および回路の安定性について検討する方法を解説するものです.

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

<4D F736F F D208E518D6C B791BD8F6482CC8FDA8DD72E646F63>

<4D F736F F D208E518D6C B791BD8F6482CC8FDA8DD72E646F63> 参考 4 波長多重の詳細 1 波長多重の基本 1.1 波長多重の方式異なる波長の光を 1 本の光ファイバで伝送することを波長多重伝送という 波長多重をする方式には 以下の 2 方式がある (1) 粗い波長多重 CWDM(Coarse Wavelength Division Multiplexing) (2) 密な波長多重 DWDM(Dense Wavelength Division Multiplexing)

More information

Microsoft PowerPoint - RLBridge.ppt

Microsoft PowerPoint - RLBridge.ppt リターンロス ブリッジ (Return Loss Bridge) の動作 2015.07.04 JA1VCW 1. はじめに部品 アンテナのインピーダンスやアンプの入出力インピーダンスなどが知りたいときがあります その測定方法の一つとしてリターンロス ブリッジ (Return Loss Bridge RLB と略 ) を使用します RLB は比較的簡単な回路で実際にあちこちに使用されていますが なぜこれでインピーダンスや

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2017 年度 v1 1 機械工学実験実習 オペアンプの基礎と応用 オペアンプは, 世の中の様々な装置の信号処理に利用されています本実験は, 回路構築 信号計測を通し, オペアンプの理解をめざします オペアンプの回路 ( 音楽との関連 ) 入力信号 機能 - 振幅の増幅 / 低減 ( 音量調整 ) - 特定周波数の抽出 ( 音質の改善 ) - 信号の合成 ( 音の合成 ) - 信号の強化 ( マイクに入力される微弱な音信号の強化

More information

__________________

__________________ 第 1 回シミュレータとモデル第 3 回伝送線路シミュレータの検証 1. シミュレーション結果の検証電卓で計算をするとき みなさんは その結果を確認しますか? またどのような確認をするでしょう たとえば 108 x 39 = 5215 となった場合 5215 をそのまま答えとして書きますか? 多分 何らかの検算をして 答えはおかしいと思うでしょう もう一度 計算をしなおすか 暗算で大体の答えの予想を付けておいて

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力

形式 :PDU 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力 計装用プラグイン形変換器 M UNIT シリーズ パルス分周変換器 ( レンジ可変形 ) 主な機能と特長 パルス入力信号を分周 絶縁して単位パルス出力信号に変換 センサ用電源内蔵 パルス分周比は前面のスイッチで可変 出力は均等パルス オープンコレクタ 電圧パルス リレー接点パルス出力を用意 密着取付可能 アプリケーション例 容積式流量計のパルス信号を単位パルスに変換 機械の回転による無接点信号を単位パルスに変換

More information

スライド 1

スライド 1 劣化診断技術 ビスキャスの開発した水トリー劣化診断技術について紹介します 劣化診断技術の必要性 電力ケーブルは 電力輸送という社会インフラの一端を担っており 絶縁破壊事故による電力輸送の停止は大きな影響を及ぼします 電力ケーブルが使用される環境は様々ですが 長期間 使用環境下において性能を満足する必要があります 電力ケーブルに用いられる絶縁体 (XLPE) は 使用環境にも異なりますが 経年により劣化し

More information

Microsoft PowerPoint - パワエレH20第4回.ppt

Microsoft PowerPoint - パワエレH20第4回.ppt パワーエレトクロニクス ( 舟木担当分 ) 第 4 回 サイリスタ変換器 ( 相ブリッジ ) 自励式変換器 平成 年 7 月 7 日月曜日 限目 位相制御単相全波整流回路 転流重なり角 これまでの解析は交流電源の内部インピーダンスを無視 考慮したらどうなるか? 電源インピーダンスを含まない回路図 点弧時に交流電流は瞬時に反転» 概念図 電源インピーダンスを含んだ回路図 点弧時に交流電流は瞬時に反転できない»

More information

ic3_lo_p29-58_0109.indd

ic3_lo_p29-58_0109.indd 第 2 章 ネットワーク 2-1 接続 ここでは に接続するネットワーク およびセキュリティの基本について学習します 2-1-1 通信速度 ネットワークの通信速度は bps( ビーピーエス ) (bits per second の略 ) という単位で表します 日本語では ビット毎秒 であり 1 秒間に転送できるデータ量を表します ビットとはデータ量の単位であり 8ビットが 1 バイトに相当します バイトもデータ量の単位であり

More information

Microsoft PowerPoint - H22パワエレ第3回.ppt

Microsoft PowerPoint - H22パワエレ第3回.ppt パワーエレトクロニクス ( 舟木担当分 ) 第三回サイリスタ位相制御回路逆変換動作 平成 年 月 日月曜日 限目 誘導負荷 位相制御単相全波整流回路 導通期間 ( 点弧角, 消弧角 β) ~β( 正の半波について ) ~ β( 負の半波について ) β> となる時に連続導通となる» この時, 正の半波の導通期間は~» ダイオードでは常に連続導通 連続導通と不連続導通の境界を求める オン状態の微分方程式

More information

Microsoft Word - Zsp.doc

Microsoft Word - Zsp.doc 小型スピーカーのインピーダンス測定 (18 Nov. 2016) 立川敏明 格安で市販されているデジタルアンプは, 前報で報告したように 1), オーディオアンプとしては優れているが, それに駆動されるスピーカーは, 相対的に安価ではない 電気信号を音波に変えるスピーカーは, 人の聴覚に直接関連しているアナログ機器であるため, コストダウンが難い機器であると想像されるからである 今回は, 安価な小型スピーカーユニット

More information

PFC回路とAC-DC変換回路の研究

PFC回路とAC-DC変換回路の研究 第 2 回電気学会東京支部栃木 群馬支所合同研究発表会 2012/2/29 EG1112 PFC 回路と ACDC 変換器 村上和貴小堀康功邢林高虹 小野澤昌徳小林春夫高井伸和新津葵一 ( 群馬大学 ) Outline 研究背景と目的 PFCについて 従来 PFC 付 ACDC 変換器 新提案 PFC 付 ACDC 変換器 シミュレーションによる検討 まとめ Outline 研究背景と目的 PFCについて

More information

1 第 5 回情報通信審議会作業班資料資料 60 作 5-2 干渉評価検討結果 1. 評価基準の違いによる離隔距離について - エントランス回線システムにおける机上計算 - 2. アンテナモデルに対する差分 平成 27 年 3 月 6 日 パナソニック株式会社

1 第 5 回情報通信審議会作業班資料資料 60 作 5-2 干渉評価検討結果 1. 評価基準の違いによる離隔距離について - エントランス回線システムにおける机上計算 - 2. アンテナモデルに対する差分 平成 27 年 3 月 6 日 パナソニック株式会社 1 第 5 回情報通信審議会作業班資料資料 60 作 5-2 干渉評価検討結果 1. 評価基準の違いによる離隔距離について - エントランス回線システムにおける机上計算 - 2. アンテナモデルに対する差分 平成 27 年 3 月 6 日 パナソニック株式会社 2 1. 評価基準の違いによる離隔距離について アプローチ 平成 25 年度技術試験事務における干渉検討に用いた システムの受信感度の規格値から算出した所要

More information

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc)

(Microsoft Word - \216\374\224g\220\224\212g\222\243\203A\203_\203v\203^QEX.doc) QEX 11 月掲載記事低価格スペアナの周波数拡張アダプタ ワンチップの GHz 帯シンセサイザ IC を応用して ローカル信号源とミキサーを一体化させた周波数拡張アダプタを試作しました RIGOL DSA815TG などの低価格スペアナで 6.5GHz までのフィルタやアンプの通過特性 スペクトルの測定を可能にします 周波数拡張アダプタの設計 製作 評価のレポートをいたします 1. ブロック図と主な仕様

More information

「リフレッシュ理科教室」テキスト執筆要領

「リフレッシュ理科教室」テキスト執筆要領 F. 部品を集めてラジオを作ろう 電波はラジオ テレビ 携帯電話をはじめとして 宇宙通信など多くの通信に広く使われている ただし 最近のラジオは IC を使用し 動作がよくわからない ここでは 簡単な回路を用いて基本的なラジオを作る ラジオ伝送では 変調と検波と呼ばれる操作があり これを理解しておこう 1. ラジオによる音声信号の送受信 1.1 ラジオ送信の考え方 ( 変調 ) ラジオなどに利用される電波は音声に比較するとはるかに高い周波数です

More information

の考え方 入力容量の低い OP アンプを 同相モード容量 2pF 未満 として 別表 1 にリストしてみましたので (72 種類ありました ) 是非ご参照ください なお 実際は同相モードでの容量と 差動モードでの容量がそれぞれ異なってきますので 注意が必要です 図 4 のように 同相モードは二つの入

の考え方 入力容量の低い OP アンプを 同相モード容量 2pF 未満 として 別表 1 にリストしてみましたので (72 種類ありました ) 是非ご参照ください なお 実際は同相モードでの容量と 差動モードでの容量がそれぞれ異なってきますので 注意が必要です 図 4 のように 同相モードは二つの入 アナログ電子回路技術ノート ハイスピード め な OP アンプで低入力容量アンプ回路を実現する 著者 : 石井聡 はじめに AD8021 という高速めな OP アンプを使って 入力容量の非常に小さい 2 チャンネルの低入力容量アンプ回路を作ってみました AD8021 はアンプ自体の入力容量がかなり小さく 一方で電源電圧範囲が最大 ±12V ととても広い 稀有 ( けう ) なアンプです おいおい特性は評価するとして

More information

LTspice/SwitcherCADⅢマニュアル

LTspice/SwitcherCADⅢマニュアル LTspice による 設計の効率化 1 株式会社三共社フィールド アプリケーション エンジニア 渋谷道雄 JPCA-Seminar_20190606 シミュレーション シミュレータ シミュレーションの位置づけ まずは 例題で動作確認 実際のリップル波形と比較してみる シミュレーションへの心構え オシロスコープ / プロービングの取り扱い 参考図書の紹介 シミュレータは 汎用の SPICE モデルが利用できる

More information

49Z-12716-2.qxd (Page 1)

49Z-12716-2.qxd (Page 1) www.tektronix.co.jp µ 全 A = 1/4N * ( T 1-T 2 ), (i =1...N) ディスク ドライブ設計のための測定ソリューション アプリケーション ノート 図 6. リード チャンネルの電流を生成するために使用する任意波形ゼネレー タと電流プローブ リード ライト ヘッドの電流 ライト ヘッドの電流振幅は ヘッド リードを電流プ ローブでルーピングすることにより簡単に測定できま

More information

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf)

推奨条件 / 絶対最大定格 ( 指定のない場合は Ta=25 C) 消費電流絶対最大定格電源電圧 Icc 容量性負荷出力抵抗型名 Vcc Max. CL 電源電圧動作温度保存温度 Zo (V) 暗状態 Min. Vcc max Topr* 2 Tstg* 2 Min. Max. (ma) (pf) 精密測光用フォトダイオードと低ノイズアンプを一体化 フォトダイオードモジュール は フォトダイオードと I/V アンプを一体化した高精度な光検出器です アナログ電圧出力のため 電圧計などで簡単に信号を観測することができます また本製品には High/Low 2 レンジ切り替え機能が付いています 検出する光量に応じて適切なレンジ選択を行うことで 高精度な出力を得ることができます 特長 用途 電圧出力のため取り扱いが簡単

More information

Microsoft PowerPoint - アナログ電子回路12回目.pptx

Microsoft PowerPoint - アナログ電子回路12回目.pptx - 発振とは どのような現象か? - アナログ電 回路 理 学部 材料機能 学科岩 素顕 iwaya@meijo-u.ac.jp 発振回路 を いた 発振回路について理解する 晶振動 を いた 晶発振回路の原理を理解する 発振 ( 意味 ): 持続的振動を発 すること 発振回路 : 直流電源から持続した交流を作る電気回路 近な発振現象 ハウリング 発振とはどのような現象か? -3 発振とは どのような現象か?

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

スライド 1

スライド 1 オームの法則 電気抵抗のある部位に 1A の電流が通り 1V の電圧が発生したときの電気抵抗を 1Ω( オーム ) と定義するので R (Ω) の抵抗に I (A) の電流が通ると 発生する電圧 E は E = I R ファラデーの法則 ( 電磁誘導 ) 電流の通る部位に磁界がある場合 磁束 φ が 1 秒間に変化する割合と 発生電圧 ( 誘導起電力 ) E の関係は E = dφ/dt ジュールの法則

More information

Microsoft Word - 02__⁄T_ŒÚ”�.doc

Microsoft Word - 02__⁄T_ŒÚ”�.doc 目 次 はじめに 目次 1. 目的 1 2. 適用範囲 1 3. 参照文書 1 4. 定義 2 5. 略語 6 6. 構成 7 7. 共通事項 8 7.1 適用範囲 8 7.2 送信ネットワーク 8 7.2.1 送信ネットワークの分類 8 7.2.2 送信ネットワークの定義 10 7.3 取り扱う主な信号の形式 12 7.3.1 放送 TS 信号形式 12 7.3.2 OFDM 信号形式 14 7.4

More information