インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

Size: px
Start display at page:

Download "インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )"

Transcription

1 インダクタンス起因ノイズのトレンドークロストークと di/dt ノイズ JEITA EDA 技術専門委員会 DMD 研究会ノイズフリーデザインタスクグループ 山縣暢英 ( ソニー ) 貝原光男 ( リコー ) 蜂屋孝太郎 (NEC) 小野信任 ( セイコーインスツルメンツ )

2 目次 活動目的と課題 ノイズの種類と影響 クロストークノイズのトレンド ダイナミック電源ノイズのトレンド まとめ 今後の課題 2

3 活動目的と課題 活動理念 Noise Free Design への道 LSI LSI 設計者がノイズを意識せずに設計できるような設計インフラ確立を目指す 活動目的 0.1um 世代以降のセルベース設計で 今後どのようなノイズが重要となるのかを把握し 必要となる設計手法 EDA ツールを提案 今年度の課題 クロストークノイズおよび電源ノイズのトレンド解析 特に配線インダクタンスがこれらのノイズに与える影響を調査 3

4 チップ内ノイズの種類 (CMOS Static Logic) スタティック論理回路におけるノイズ 配線伝播中の信号波形歪み 配線 RC による波形なまり [1] 伝送線路 L 起因の波形歪み 遅延誤差 [1] クロストーク ノイズ 容量結合 誘導結合 [1] 電源ノイズ IR-drop, di/dt dtノイズ, 電源分配系の共振 [2] ここに注力 基板ノイズ 基板を媒介にしたクロストークノイズ 電源ノイズ 4

5 チップ内ノイズの影響 CMOS スタティック論理回路でのノイズの影響 配線伝播歪み クロストーク 電源ノイズ クロックスキュー / ジッタ増加 不要クロック発生 組合論理出力にグリッジ発生 パス遅延変動 異常データをラッチ 動作タイミング変動 誤動作 5

6 クロストークノイズのトレンド SPICE シミュレーションによって現象を解析 16bit の並行配線をモデル化 中心の 1 本を Victim 残りの 15 本は Aggressor 15 本の Agressor に同時に変化信号を入力 配線ピッチと幅によるノイズの変化 信号の立ち上がり時間によるノイズの変化 6

7 配線構造 等長並行配線 L W S T L,S を変えて R L C を抽出 W は S と同じ 相互インダクタンスは全ての配線間 カップリング C は 3 つ向こうの配線まで抽出 7

8 配線サイズ テクノロジーノード 100nm のグローバル配線を想定 ITRS1999 の予測値を使用 配線高 (T)( 621 nm 配線長 (L)( 0.5mm 1.0mm 5.0mm 10.0mm 配線幅 (W)( ) 間隔 (S)( 230nm 460nm 920nm 1840nm 3680nm 7360nm 8

9 回路構成 g0 Rd a0 Aggressor c0 CL Rd Rd Rd a7 a8 a9 Aggressor Victim Aggressor c7 c8 c9 CL CL CL x16 GND Rd a15 Aggressor c15 CL a7-gnd a8 GND c8 g0 間の電圧を測定 9

10 クロストークノイズ波形 (1) 1mm 230 nm Trf ns 10

11 クロストークノイズ波形 (2)( 1mm 920 nm Trf ns 11

12 クロストークノイズ波形 (3)( 1mm 3680 nm Trf ns 12

13 ノイズピーク値変化 (L( 有 ) ノイズ値 ノイズ値 Trf = 配線間隔 Trf = 配線間隔 ノイズ値 ノイズ値 Trf = 配線間隔 Trf = 配線間隔 0.5mm 1mm 5mm 10mm 13

14 ノイズピーク値変化 (L( 無 ) ノイズ値 ノイズ値 Trf = 配線間隔 Trf = 配線間隔 ノイズ値 ノイズ値 Trf = 配線間隔 Trf = 配線間隔 0.5mm 1mm 5mm 10mm 14

15 ノイズピーク値 (L( 有無の差 ) ノイズの差 ノイズの差 Trf = 配線間隔 Trf = 配線間隔 ノイズの差 ノイズの差 Trf = 配線間隔 0.6 Trf = 配線間隔 0.5mm 1mm 5mm 10mm 15

16 クロストークノイズまとめ SPICE シミュレーションにより現象を確認 Trf が小さくなると配線サイズに関係なくノイズ大 インダクタンスを考慮するとノイズは大きくなる傾向 配線長 間隔が大きい場合は Lの考慮が必要 配線モデルについては今後も検討が必要 16

17 ダイナミック電源ノイズのトレンド di/dt ノイズの大きさからパッケージの許容インダクタンスを予測 di/dt ノイズを回避するために必要なデカップリングキャパシタ (Cd( Cd) の容量を予測 Cd の効果を SPICE シミュレーションで確認 17

18 di/dt のモデル ピーク電源電流 Ipeak 平均電源電流 I 1=Trf クロック信号 周期 T = 16Trf 電源電流 CLK の山は無視 k Trf di/dt = Ipeak/(k Trf/2) Ipeak x k Trf/2 = I x (Trf x 16) Ipeak = 32I/k 最悪は k=1 Ipeak=32 I di/dt = 64 I/Trf 18

19 許容インダクタンスのトレンド ノイズ値 V= L di/dt V=0.1Vdd として許容インダクタンスを算出 インダクタンス nh 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E テクノロジノード nm potable High performance Actual ITRS

20 di/dt ノイズの問題 現状パッケージのリードのインダクタンスは 許容インダクタンスよりも大きい チップ内にデカップリングキャパシタ (Cd( Cd) を入れて ノイズを低減 20

21 デカップリングキャパシタ要求量 平均消費電流 1 クロックあたりのチャージの時間積分量 総電荷量 (The charge drawn during a burst is) ΔQ = I/2fc (I: 電流, fc 周波数 ) ( 殆どのロジックはクロックの両エッジで変化するので 2fc と なる ) 遷移中の電荷をデカップリング容量から供給すると ΔV = ΔQ/C C = ΔQ/ Q/ΔV = Q/C (C: デカップリング容量 ) V = I/(2fc x ΔV) (ΔV: 許容変動電圧 ) I/(2fc x V) 21

22 Cd の要求値のトレンド 1600 High Performance 30 Portable デカップリング容量 nf テクノロジノード nm デカップリング容量 nf テクノロジノード nm ITRS

23 Cd の要求値 ( ゲート面積換算 ) Cd *Tox / εox よりゲート面積に換算 High Performance Tox εox は ITRS1999 の値を使用 Portable GATE 面積 mm テクノロジノード nm GATE 面積 mm テクノロジノード nm High Performance ではチップ全体に対して 4% 程度の面積 23

24 SPICE による Cd の効果の確認 10mm のチッフ を想定 チッフ を 16 分割するように簡易電源ク リット を設定 交点に電流源をもたせる ( 全体消費電力から交点に平均 ) 電源 Pin にはハ ッケーシ の LCR を付け理想電源に接続それぞれの電源ラインの両端 (1 辺 16 ヶ所 ) 電源ラインは 交点から交点まで 4 段の π 型で近似テ カッフ リンク 容量は その中に組み込んでいる 中央点での電圧をチェック (8,8) ハ ッケーシ L=1nH C=0.1pF R=0.1Ω チッフ 内 L=0.5nH/mm 電流源波形は Trf=0.0625ns[1G/16] リート VDD (0,0) (16,0) (8,8) (0,16) (16,16) 24

25 シミュレーション結果 オンチップ L 無し オンチップ L 有り di/dt ノイズ [ V/Vdd] R=0.5Ω/mm R=1.0Ω/mm R=2.0Ω/mm 簡易式 di/dt ノイズ [ V/Vdd] R=0.5Ω/mm R=1.0Ω/mm R=2.0Ω/mm 簡易式 デカップリング容量 [nf] デカップリング容量 [nf] 25

26 SOI で予想される影響 寄生容量は Cd としての効果をもっている Well 容量 電源配線自身の容量 1 になっている信号配線の容量 SOI では寄生容量が減る Well がなくなるので Well 容量がなくなる Box 容量が Well に相当するが 値は小さい Cd セル自体が作りにくくなる 面積あたりのゲート容量自体が小さい 26

27 ダイナミック電源ノイズまとめ di/dt ノイズの回避のために Cd の挿入は必須 Cd の必要量はテクノロジーノードに反比例する Cd の必要量は簡易式により比較的精度よく求めることができる SOI では Cd の必要量の確保が難しくなる可能性 27

28 まとめ SPICE シミュレーションにより クロストークノイズにおけるインダクタンスの影響を確認 デカップリングキャパシタの効果を SPICE シミュレーションにより確認 28

29 今後の課題 クロストークノイズ 配線モデルの更なる検討 クロストークノイズスクリーニングのための簡易式の作成 ダイナミック電源ノイズ di/dt の計算モデルの改良 デカップリングキャパシタの最適配置方法の検討 29

30 参考文献 [1] C.-K. Cheng, J. Lillis, S. Lin, N. Chang, Interconnect Analysis and Synthesis, Wiley, [2] H.B.Bakoglu, Circuits, Interconnections, and Packaging for VLSI, Addison Wesley,

スライド 1

スライド 1 プリント回路基板の EMC 設計 京都大学大学院工学研究科 松嶋徹 EMC( 電磁的両立性 ): 環境電磁工学 EMC とは? 許容できないような電磁妨害波を, 如何なるものに対しても与えず, かつ, その電磁環境において満足に機能するための, 機器 装置またはシステムの能力 高 Immunity イミュニティ ( 耐性 ) 低 EMI 電磁妨害 EMS 電磁感受性 低 電磁妨害波によって引き起こされる機器

More information

降圧コンバータIC のスナバ回路 : パワーマネジメント

降圧コンバータIC のスナバ回路 : パワーマネジメント スイッチングレギュレータシリーズ 降圧コンバータ IC では スイッチノードで多くの高周波ノイズが発生します これらの高調波ノイズを除去する手段の一つとしてスナバ回路があります このアプリケーションノートでは RC スナバ回路の設定方法について説明しています RC スナバ回路 スイッチングの 1 サイクルで合計 の損失が抵抗で発生し スイッチングの回数だけ損失が発生するので 発生する損失は となります

More information

p ss_kpic1094j03.indd

p ss_kpic1094j03.indd DC~1 Mbps 光リンク用送受信フォト IC は 光ファイバ通信用トランシーバ (FOT) として プラスチック光ファイバ (POF)1 本で半 2 重通信が可能な送受信フォト ICです POFを用いた光ファイバ通信は ノイズの影響を受けない 高いセキュリティをもつ 軽量といった特長があります は送信部と受信部の光軸が同一なため 1 本のPOFで光信号の送信 受信が可能です POF 通信に最適な500

More information

Microsoft PowerPoint - 2-4_matsunaga

Microsoft PowerPoint - 2-4_matsunaga ソフトエラー対策用 EDA ツールの開発 九州大学大学院システム情報科学研究院松永裕介 設計ツールとフローの構築 安浦チーム対象範囲 ディペンダビリティアナライザ アーキテクチャ設計 RTL 設計 論理設計 ディペンダビリティエンハンサ ディペンダビリティアナライザ ディペンダビリティエンハンサディペンダビリティアナライザ ディペンダビリティエンハンサ 評価 解析 評価指標 設計変更 評価 解析 評価指標

More information

Microsoft PowerPoint - 集積回路工学(5)_ pptm

Microsoft PowerPoint - 集積回路工学(5)_ pptm 集積回路工学 東京工業大学大学院理工学研究科電子物理工学専攻 松澤昭 2009/0/4 集積回路工学 A.Matuzawa (5MOS 論理回路の電気特性とスケーリング則 資料は松澤研のホームページ htt://c.e.titech.ac.j にあります 2009/0/4 集積回路工学 A.Matuzawa 2 インバータ回路 このようなインバータ回路をシミュレーションした 2009/0/4 集積回路工学

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

<8AEE B43979D985F F196DA C8E323893FA>

<8AEE B43979D985F F196DA C8E323893FA> 基礎電気理論 4 回目 月 8 日 ( 月 ) 共振回路, 電力教科書 4 ページから 4 ページ 期末試験の日程, 教室 試験日 : 月 4 日 ( 月 ) 時限 教室 :B-4 試験範囲 : 教科書 4ページまでの予定 http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 特別試験 ( 予定 ) 月 5 日 ( 水 ) 学習日 月 6 日 ( 木 )

More information

Microsoft PowerPoint - 4.CMOSLogic.ppt

Microsoft PowerPoint - 4.CMOSLogic.ppt 第 4 章 CMOS 論理回路 (1) CMOS インバータ 2008/11/18 広島大学岩田穆 1 抵抗負荷のインバータ V dd ( 正電源 ) R: 負荷抵抗 In Vin Out Vout n-mos 駆動トランジスタ グランド 2008/11/18 広島大学岩田穆 2 抵抗負荷のインバータ V gs I d Vds n-mos 駆動トランジスタ ドレイン電流 I d (n-mos) n-mosの特性

More information

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧

基本的なノイズ発生メカニズムとその対策 電源 GND バウンス CMOS デジタル回路におけるスイッチング動作に伴い 駆動 MOS トランジスタのソース / ドレインに過渡的な充放電電流 及び貫通電流が生じます これが電源 GND に流れ込む際 配線の抵抗成分 及びインダクタンス成分によって電源電圧 デジアナ混載 IC ミックスド シグナル IC 設計の留意点 2005 年 5 月初版 2010 年 10 月改訂作成 : アナロジスト社森本浩之 まえがきデジタル アナログ混載 IC の回路本来の実力を引き出すためにはアナログ回路とデジタ ル回路の不要な干渉を抑える必要があり ノウハウを要します ですが十分な理解と注意の元で設 計を行えばさほど混載を恐れる必要もありません 用語 IP: Intellectual

More information

Microsoft PowerPoint - 6.memory.ppt

Microsoft PowerPoint - 6.memory.ppt 6 章半導体メモリ 広島大学岩田穆 1 メモリの分類 リードライトメモリ : RWM リードとライトができる ( 同程度に高速 ) リードオンリメモリ : ROM 読み出し専用メモリ, ライトできない or ライトは非常に遅い ランダムアクセスメモリ : RAM 全番地を同時間でリードライトできる SRAM (Static Random Access Memory) 高速 DRAM (Dynamic

More information

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析

600 V系スーパージャンクション パワーMOSFET TO-247-4Lパッケージのシミュレーションによる解析 [17.7 White Paper] 6 V 系スーパージャンクションパワー MOSFET TO-247-4L パッケージのシミュレーションによる解析 MOSFET チップの高速スイッチング性能をより引き出すことができる 4 ピン新パッケージ TO-247-4L 背景 耐圧が 6V 以上の High Voltage(HV) パワー半導体ではオン抵抗と耐圧のトレードオフの改善を行うためスーパージャンクション

More information

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc

Microsoft Word - TC4011BP_BF_BFT_J_P8_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4011BP,TC4011BF,TC4011BFT TC4011BP/TC4011BF/TC4011BFT Quad 2 Input NAND Gate は 2 入力の正論理 NAND ゲートです これらのゲートの出力は すべてインバータによるバッファが付加されているため 入出力特性が改善され 負荷容量の増加による伝達時間の変動が最小限に抑えられます

More information

1 背景と目的 1.1 背景 プロセスばらつきが与える影響の増大 トランジスタ特性や配線構造が変動 LSI の動作速度が変動 タイミング検証の精度が低下 Sim OK LSI NG!! 2002 年電子情報通信学会ソサイエティ大会 JEITA, All rights reserved.

1 背景と目的 1.1 背景 プロセスばらつきが与える影響の増大 トランジスタ特性や配線構造が変動 LSI の動作速度が変動 タイミング検証の精度が低下 Sim OK LSI NG!! 2002 年電子情報通信学会ソサイエティ大会 JEITA, All rights reserved. プロセスばらつきを考慮した 遅延計算モデルの提案と ばらつき要因解析 ( 社 ) 電子情報技術産業協会デシミクロン設計研究会配線ばらつきタスクグループ 栗山茂 ( 三菱 ), 菊地原秀行 ( 沖 ), 安島裕恵 ( 日立 ), 永瀬圭司 ( シャープ ), 坂田明雄 ( 東芝 ), 山口龍一 ( 松下 ) 2002 年電子情報通信学会ソサイエティ大会 1 2002 JEITA, All rights

More information

<4D F736F F F696E74202D E D836A834E83588AEE A837E B315F504995D C576322E B8CDD8AB B83685D>

<4D F736F F F696E74202D E D836A834E83588AEE A837E B315F504995D C576322E B8CDD8AB B83685D> A-1 2013 年 7 月 2 日 テクトロニクス イノベーション フォーラムク 2013 高速信号伝送の基礎と設計トレンド最前線 1-PI 編 芝浦工業大学電子工学科 須藤俊夫 1 内容 1.SI PI EMI の課題と背景 2. 電源ノイズの測定 解析例 3. 統合インピーダンスと反共振現象 4. 駆動源のスペクトラム 5. ターゲットインピーダンス 6. まとめ 2 CMOS 回路の構造 ゲート酸化膜

More information

SICE東北支部研究集会資料(2014年)

SICE東北支部研究集会資料(2014年) 計測自動制御学会東北支部第 291 回研究集会 (2014 年 10 月 23 日 ) 資料番号 291-12 断熱回路技術を用いた 低消費デジタル PWM 制御回路の設計 Design of low-power digital PWM circuit with adiabatic dynamic CMOS logic 鈴木暖 ( 山形大学 ), 阿部啄也 ( 山形大学 ), 澤田直樹 ( 山形大学

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって

RMS(Root Mean Square value 実効値 ) 実効値は AC の電圧と電流両方の値を規定する 最も一般的で便利な値です AC 波形の実効値はその波形から得られる パワーのレベルを示すものであり AC 信号の最も重要な属性となります 実効値の計算は AC の電流波形と それによって 入門書 最近の数多くの AC 電源アプリケーションに伴う複雑な電流 / 電圧波形のため さまざまな測定上の課題が発生しています このような問題に対処する場合 基本的な測定 使用される用語 それらの関係について理解することが重要になります このアプリケーションノートではパワー測定の基本的な考え方やパワー測定において重要な 以下の用語の明確に定義します RMS(Root Mean Square value

More information

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用]

Microsoft PowerPoint - SDF2007_nakanishi_2.ppt[読み取り専用] ばらつきの計測と解析技術 7 年 月 日設計基盤開発部先端回路技術グループ中西甚吾 内容. はじめに. DMA(Device Matrix Array)-TEG. チップ間 チップ内ばらつきの比較. ばらつきの成分分離. 各ばらつき成分の解析. まとめ . はじめに 背景 スケーリングにともない さまざまなばらつきの現象が顕著化しており この先ますます設計困難化が予想される EDA ツール 回路方式

More information

スライド 1

スライド 1 電子回路研究会 24 年 月 9 日 マルチビットデルタシグマ型 タイムデジタイザ回路の FPGA 実現 測定検証 中條剛志 平林大樹 荒船拓也 佐藤幸志 2 小林春夫 : 群馬大学 2: 光サイエンス Suppored by STARC Gunma niversiy Kobayashi Lab アウトライン 研究背景 シングルビットΔΣTDC マルチビットΔΣTDC 測定 評価 まとめ 今後の課題

More information

レベルシフト回路の作成

レベルシフト回路の作成 レベルシフト回路の解析 群馬大学工学部電気電子工学科通信処理システム工学第二研究室 96305033 黒岩伸幸 指導教官小林春夫助教授 1 ー発表内容ー 1. 研究の目的 2. レベルシフト回路の原理 3. レベルシフト回路の動作条件 4. レベルシフト回路のダイナミクスの解析 5. まとめ 2 1. 研究の目的 3 研究の目的 信号レベルを変換するレベルシフト回路の設計法を確立する このために 次の事を行う

More information

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部

回路シミュレーションに必要な電子部品の SPICE モデル 回路シミュレータでシミュレーションを行うためには 使用する部品に対応した SPICE モデル が必要です SPICE モデルは 回路のシミュレーションを行うために必要な電子部品の振る舞い が記述されており いわば 回路シミュレーション用の部 当社 SPICE モデルを用いたいたシミュレーションシミュレーション例 この資料は 当社 日本ケミコン ( 株 ) がご提供する SPICE モデルのシミュレーション例をご紹介しています この資料は OrCAD Capture 6.( 日本語化 ) に基づいて作成しています 当社 SPICE モデルの取り扱いに関するご注意 当社 SPICE モデルは OrCAD Capture/PSpice 及び

More information

HD74LS74A データシート

HD74LS74A データシート ual -typ Positiv dg-triggrd Flip-Flops (with Prst and Clar) データシート は, ダイレクトクリア, ダイレクトプリセットおよびコンプリメンタリ出力, によって構成されており, 入力データは, クロックパルスの立ち上がりエッジで出力に伝達されます 特長 発注型名 R04S002JJ0300 (Prvious: RJJ030560-0200)

More information

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE

光変調型フォト IC S , S6809, S6846, S6986, S7136/-10, S10053 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LE 外乱光下でも誤動作の少ない検出が可能なフォト IC 外乱光下の光同期検出用に開発されたフォトICです フォトICチップ内にフォトダイオード プリアンプ コンパレータ 発振回路 LED 駆動回路 および信号処理回路などが集積化されています 外部に赤外 LEDを接続することによって 外乱光の影響の少ない光同期検出型のフォトリフレクタやフォトインタラプタが簡単に構成できます 独自の回路設計により 外乱光許容照度が10000

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/

メモリ トレンド DDR4 と LPDDR4 の速度域が重なる V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる DDR2 DDR3 DDR4 LPDDR1/2/3/ キーサイトウェブセミナー 2016 誰もが陥る DDR メモリトラブル回避法 キーサイト テクノロジー合同会社アプリケーションエンジニアリング部門小室行央 メモリ トレンド DDR4 と LPDDR4 の速度域が重なる 12800 6400 3200 1600 800 400 200 100 1.5V DDR4 1.8V 1.2V LPDDR4 1.1V DDR4 と LPDDR4 の速度域が重なる

More information

Microsoft Word - N-TM307取扱説明書.doc

Microsoft Word - N-TM307取扱説明書.doc Page 1 of 12 2CHGATEANDDELAYGENERATORTYPE2 N-TM307 取扱説明書 初版発行 2015 年 10 月 05 日 最新改定 2015 年 10 月 05 日 バージョン 1.00 株式会社 テクノランドコーポレーション 190-1212 東京都西多摩郡瑞穂町殿ヶ谷 902-1 電話 :042-557-7760 FAX:042-557-7727 E-mail:info@tcnland.co.jp

More information

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D

ブロック図 真理値表 STEP CLOCK LATCH ENABLE SERIAL IN OUT 0 OUT5 OUT 7 SERIAL OUT 1 UP H L D n D n D n 5 D n 7 D n 7 2 UP L L D n+1 No change D n 6 3 UP H L D 東芝 Bi CMOS 集積回路シリコンモノリシック TB62705CP/CF/CFN TB62705CP,TB62705CF,TB62705CFN 8 ビット定電流 LED ドライバ TB62705CP / CF / CFN は 8 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 8 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン

More information

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10

NJM78L00S 3 端子正定電圧電源 概要 NJM78L00S は Io=100mA の 3 端子正定電圧電源です 既存の NJM78L00 と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および 3.3V の出力電圧もラインアップしました 外形図 特長 出力電流 10 端子正定電圧電源 概要 は Io=mA の 端子正定電圧電源です 既存の NJM78L と比較し 出力電圧精度の向上 動作温度範囲の拡大 セラミックコンデンサ対応および.V の出力電圧もラインアップしました 外形図 特長 出力電流 ma max. 出力電圧精度 V O ±.% 高リップルリジェクション セラミックコンデンサ対応 過電流保護機能内蔵 サーマルシャットダウン回路内蔵 電圧ランク V,.V,

More information

スライド 1

スライド 1 第 47 回集積回路技術リテラシー研究会 2017/10/2 トリガ回路を用いた 積分型時間デジタイザ回路 佐々木優斗 小澤祐喜 小林春夫 群馬大学理工学部電子情報理工学科小林研究室学部 4 年佐々木優斗 t14304053@gunma-u.ac.jp @ 東京工業大学すずかけ台キャンパス Kobayashi Lab. Gunma University アウトライン 2/36 研究背景 従来の時間デジタイザ回路

More information

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir

PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Cir PIC の書き込み解説 PICライターを使うときに間違った使い方を見受ける 書き込み失敗の原因は知識不足にある やってはいけないことをしている 単に失敗だけならまだしも部品を壊してしまう 正しい知識を身に着けよう 書き込みに必要なピンと意味 ICSPを意識した回路設計の必要性 ICSP:In Circuit Serial Programmming 原則論を解説 PIC の種類によって多少異なる 1

More information

LTspice/SwitcherCADⅢマニュアル

LTspice/SwitcherCADⅢマニュアル LTspice による 設計の効率化 1 株式会社三共社フィールド アプリケーション エンジニア 渋谷道雄 JPCA-Seminar_20190606 シミュレーション シミュレータ シミュレーションの位置づけ まずは 例題で動作確認 実際のリップル波形と比較してみる シミュレーションへの心構え オシロスコープ / プロービングの取り扱い 参考図書の紹介 シミュレータは 汎用の SPICE モデルが利用できる

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

2STB240PP(AM-2S-G-005)_02

2STB240PP(AM-2S-G-005)_02 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (1 8) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0 7.0 0.3 S+0.3 0.3 CC+0.3 0.7 +75 45 +5 (1)S= 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ

NJM78L00 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さ 3 端子正定電圧電源 概要高利得誤差増幅器, 温度補償回路, 定電圧ダイオードなどにより構成され, さらに内部に電流制限回路, 熱暴走に対する保護回路を有する, 高性能安定化電源用素子で, ツェナーダイオード / 抵抗の組合せ回路に比べ出力インピーダンスが改良され, 無効電流が小さくなり, さらに雑音特性も改良されています 外形 UA EA (5V,9V,12V のみ ) 特徴 過電流保護回路内蔵

More information

2STB240AA(AM-2S-H-006)_01

2STB240AA(AM-2S-H-006)_01 項目記号定格単位 電源 1 印加電圧電源 2 印加電圧入力電圧 (A1 A2) 出力電圧 ( ) 出力電流 ( ) 許容損失動作周囲温度保存周囲温度 S CC I o Io Pd Topr Tstg 24.0.0 0.3 S+0.3 0.3 CC+0.3 10 0. 20 + 4 +12 (1)S=12 系項目 記号 定格 単位 電源 1(I/F 入力側 ) 電源 2(I/F 出力側 ) I/F 入力負荷抵抗

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 第 5 章周波数特性 回路が扱える信号の周波数範囲の解析 1 5.1 周波数特性の解析方法 2 周波数特性解析の必要性 利得の周波数特性 増幅回路 ( アナログ回路 ) は 信号の周波数が高くなるほど増幅率が下がり 最後には 増幅しなくなる ディジタル回路は 高い周波数 ( クロック周波数 ) では論理振幅が小さくなり 最後には 不定値しか出力できなくなる 回路がどの周波数まで動作するかによって 回路のスループット

More information

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2

フォト IC ダイオード S SB S CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2 S9066-211SB S9067-201CT 視感度に近い分光感度特性 視感度特性に近い分光感度特性をもったフォトICダイオードです チップ上には2つの受光部があり 1つは信号検出用受光部 もう1つは近赤外域にのみ感度をもつ補正用受光部になっています 電流アンプ回路中で2つの受光部の出力を減算し ほぼ可視光域にのみ感度をもたせています また従来品に比べ 同一照度における異なる色温度の光源に対しての出力変化を低減しています

More information

Microsoft PowerPoint - 9.Analog.ppt

Microsoft PowerPoint - 9.Analog.ppt 9 章 CMOS アナログ基本回路 1 デジタル情報とアナログ情報 アナログ情報 大きさ デジタル信号アナログ信号 デジタル情報 時間 情報処理システムにおけるアナログ技術 通信 ネットワークの高度化 無線通信, 高速ネットワーク, 光通信 ヒューマンインタフェース高度化 人間の視覚, 聴覚, 感性にせまる 脳型コンピュータの実現 テ シ タルコンヒ ュータと相補的な情報処理 省エネルギーなシステム

More information

Microsoft PowerPoint -

Microsoft PowerPoint - LSI の電源雑音のオンチップ測定回路 NEC システムデバイス研究所高宮真 taka@mel.cl.nec.co.jp システム 外来ノイズ 内部ノイズ LSI オンチップ測定回路 ボード パッケージ 発表内容 2 LSI 設計における電源ノイズ問題 オンチップ測定回路の必要性と可能性 オンチップ測定回路の紹介 電源ノイズ波形測定 電源ノイズスペクトラム測定 製品搭載が可能な回路 まとめ 3 Power/Signal

More information

スライド 1

スライド 1 Shibaura Institute of Technology EDS Fair 2011 国際学会の技術トレンドを読み解く ~ 過去 現在 未来 ~ 低消費電力設計 芝浦工業大学工学部情報工学科 宇佐美公良 低消費電力技術の論文発表件数の推移 論文発表件数 20 18 16 14 12 10 8 6 4 2 0 2007 2008 2009 2010 2011 年 ASP-DAC DAC ICCAD

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 3.2 スイッチングの方法 1 電源の回路図表記 電源ラインの記号 GND ラインの記号 シミュレーションしない場合は 省略してよい ポイント : 実際には V CC と GND 配線が必要だが 線を描かないですっきりした表記にする 複数の電源電圧を使用する回路もあるので 電源ラインには V CC などのラベルを付ける 2 LED のスイッチング回路 LED の明るさを MCU( マイコン ) で制御する回路

More information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information

アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能 4. 周波数特性の検討 5. 異常発振してしまう原理 6. まとめ 2 Analog Devices Proprietary Information The World Leader in High Performance Signal Processing Solutions SPICE ツールで適切な周波数特性と異常発振しない OP アンプ回路を実現する 基礎編 アナログ デバイセズ株式会社石井聡 1 アジェンダ 1. イントロダクション 2. アナログ回路での単位 db などの見方 考え方 3. SPICEツールNI Multisim の基本機能

More information

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています

フロントエンド IC 付光センサ S CR S CR 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています 各種光量の検出に適した小型 APD Si APD とプリアンプを一体化した小型光デバイスです 外乱光の影響を低減するための DC フィードバック回路を内蔵していま す また 優れたノイズ特性 周波数特性を実現しています なお 本製品の評価キットを用意しています 詳細については 当社 営業までお問い合わせください 特長 高速応答 増倍率 2 段階切替機能 (Low ゲイン : シングル出力, High

More information

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 )

ブロック図 真理値表 入力出力 OUTn (t = n) CLOCK LATCH ENABLE SERIAL-IN OUT 0 OUT 7 OUT 15 SERIAL OUT H L D n D n D n 7 D n 15 D n 15 L L D n No Change D n 15 ( 注 ) 東芝 Bi CMOS 集積回路シリコンモノリシック TB62706BN,TB62706BF TB62706BN/BF 16 ビット定電流 LED ドライバ TB62706BN TB62706BF は 16 ビットの電流値を可変可能な定電流回路と これをオン オフ制御する 16 ビットシフトレジスタ ラッチおよびゲート回路から構成された定電流 LED ドライバです ( アノードコモン ) Bi CMOS

More information

第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例 プリント基板設計における推奨パターン及び注意点 Fuji Electric Co., Ltd. MT6M12343 Rev.1.0 Dec

第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例 プリント基板設計における推奨パターン及び注意点 Fuji Electric Co., Ltd. MT6M12343 Rev.1.0 Dec 第 5 章 推奨配線及びレイアウト 内容ページ 1. 応用回路例. 5-2 2. プリント基板設計における推奨パターン及び注意点.. 5-5 5-1 1. 応用回路例 この章では 推奨配線とレイアウトについて説明しています プリント基板設計時におけるヒントと注意事項については 以下の応用回路例をご参照下さい 図.5-1 と図.5-2 には それぞれ 2 種類の電流検出方法での応用回路例を示しており

More information

スライド 1

スライド 1 アナログ検定 2014 1 アナログ検定 2014 出題意図 電子回路のアナログ的な振る舞いを原理原則に立ち返って解明できる能力 部品の特性や限界を踏まえた上で部品の性能を最大限に引き出せる能力 記憶した知識や計算でない アナログ技術を使いこなすための基本的な知識 知見 ( ナレッジ ) を問う問題 ボーデ線図などからシステムの特性を理解し 特性改善を行うための基本的な知識を問う問題 CAD や回路シミュレーションツールの限界を知った上で

More information

__________________

__________________ 第 1 回シミュレータとモデル第 2 回伝送線路シミュレータ 1. 伝送線路シミュレータ電子機器の動作速度の高速化に伴い 伝送線路シミュレータが多く使われるようになって来ました しかし 伝送線路シミュレータも実に簡単に 間違えた結果 を出力します しかも 電子機器は進歩が急で 信号スピードはどんどん速くなり 伝送線路シミュレータも毎年のように機能アップしたり 精度向上をした 新製品 新バージョンが出てきます

More information

Microsoft Word - SPARQアプリケーションノートGating_3.docx

Microsoft Word - SPARQアプリケーションノートGating_3.docx SPARQ を使用したフィクスチャの S パラメータ抽出 TECHNICAL BRIEF 伊藤渉 Feb 3, 2014 概要 SMA や K コネクタ等ではない非同軸タイプのコネクタを使用する DUT をオシロスコープで測定するにはコネクタの変換の為にフィクスチャを使用します このフィクスチャの伝送特性を差し引き DUT のみの特性を求めたい場合 フィクスチャの伝送特性を抽出することは通常では困難です

More information

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン

CMOS リニアイメージセンサ用駆動回路 C10808 シリーズ 蓄積時間の可変機能付き 高精度駆動回路 C10808 シリーズは 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です セン 蓄積時間の可変機能付き 高精度駆動回路 は 電流出力タイプ CMOS リニアイメージセンサ S10111~S10114 シリーズ S10121~S10124 シリーズ (-01) 用に設計された駆動回路です センサの駆動に必要な各種タイミング信号を供給し センサからのアナログビデオ信号 を低ノイズで信号処理します 2 種類の外部制御信号 ( スタート クロック ) と 2 種類の電源 (±15 )

More information

__________________

__________________ 第 1 回シミュレータとモデル第 3 回伝送線路シミュレータの検証 1. シミュレーション結果の検証電卓で計算をするとき みなさんは その結果を確認しますか? またどのような確認をするでしょう たとえば 108 x 39 = 5215 となった場合 5215 をそのまま答えとして書きますか? 多分 何らかの検算をして 答えはおかしいと思うでしょう もう一度 計算をしなおすか 暗算で大体の答えの予想を付けておいて

More information

インターリーブADCでのタイミングスキュー影響のデジタル補正技術

インターリーブADCでのタイミングスキュー影響のデジタル補正技術 1 インターリーブADCでのタイミングスキュー影響のデジタル補正技術 浅見幸司 黒沢烈士 立岩武徳 宮島広行 小林春夫 ( 株 ) アドバンテスト 群馬大学 2 目次 1. 研究背景 目的 2. インターリーブADCの原理 3. チャネル間ミスマッチの影響 3.1. オフセットミスマッチの影響 3.2. ゲインミスマッチの影響 3.3. タイミングスキューの影響 4. 提案手法 4.1. インターリーブタイミングミスマッチ補正フィルタ

More information

(Microsoft PowerPoint - \217W\220\317\211\361\230H\215H\212w_ ppt)

(Microsoft PowerPoint - \217W\220\317\211\361\230H\215H\212w_ ppt) 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 集積回路工学 1 レイアウトの作業 トランジスタの形状と位置を決定 トランジスタ間を結ぶ配線の経路を決定 製造工程の製造精度に対し 十分な余裕を持った設計ー > デザインルール チップ面積の最小化 遅延の最小化 消費電力の最小化 仕様設計 Schematic の作成 / 修正 Simulation DRC/LVS OK? OK? LPE/Simulation

More information

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc

Microsoft Word - TC4013BP_BF_J_P9_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4013BP,TC4013BF TC4013BP/TC4013BF Dual D-Type Flip Flop は 2 回路の独立な D タイプ フリップフロップです DATA 入力に加えられた入力レベルはクロックパルスの立ち上がりで Q および Q 出力に伝送されます SET 入力を H RESET 入力を L にすると Q 出力は H Q

More information

49Z-12716-2.qxd (Page 1)

49Z-12716-2.qxd (Page 1) www.tektronix.co.jp µ 全 A = 1/4N * ( T 1-T 2 ), (i =1...N) ディスク ドライブ設計のための測定ソリューション アプリケーション ノート 図 6. リード チャンネルの電流を生成するために使用する任意波形ゼネレー タと電流プローブ リード ライト ヘッドの電流 ライト ヘッドの電流振幅は ヘッド リードを電流プ ローブでルーピングすることにより簡単に測定できま

More information

Microsoft PowerPoint - 集積デバイス工学7.ppt

Microsoft PowerPoint - 集積デバイス工学7.ppt 集積デバイス工学 (7 問題 追加課題 下のトランジスタが O する電圧範囲を求めよただし T, T - とする >6 問題 P 型 MOS トランジスタについて 正孔の実効移動度 μ.7[m/ s], ゲート長.[μm], ゲート幅 [μm] しきい値電圧 -., 単位面積あたりの酸化膜容量

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2018 年度クラス C3 1 2 3 情報科学基礎 I 11. 順序回路の基礎 ( 教科書 4 章 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 組合せ回路と順序回路 x1 x2 xn 組合せ回路 y1 y2 ym 組合せ回路 : 出力は, その時点の入力の組合せのみで決まる x1 x2

More information

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET

名称 型名 SiC ゲートドライバー SDM1810 仕様書 適用 本仕様書は SiC-MOSFET 一体取付形 2 回路ゲートドライバー SDM1810 について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET 1 1. 適用 本は SiC-MOSFET 一体取付形 2 回路ゲートドライバー について適用いたします 2. 概要本ドライバーは ROHM 社製 2ch 入り 180A/1200V クラス SiC-MOSFET パワーモジュール BSM180D12P2C101 に直接実装できる形状で SiC-MOSFET のゲート駆動回路と DC-DC コンバータを 1 ユニット化したものです SiC-MOSFET

More information

Microsoft PowerPoint _DT_Power calculation method_Rev_0_0_J.pptx

Microsoft PowerPoint _DT_Power calculation method_Rev_0_0_J.pptx Fuji Power MOSFE 電力計算方法 Design ool Cher. 概要 MOSFE を使用する上で許容される損失を超えていないか確認する必要があります しかし MOSFE の損失は電力計などによる測定ができないため オシロスコープなどによりドレイン ソース間電圧 ドレイン電流 D 波形から計算しなくてはなりません 本資料では MOSFE の損失計算方法を提示します また付属として損失計算補助ツールの使用方法も併せて提示します

More information

Microsoft Word - AK8133_MS0930_J_05.doc

Microsoft Word - AK8133_MS0930_J_05.doc AK8133 Multi Clock Generator for Audio AK8133 は 高性能オーディオ用 PLL クロックジェネレータ IC です 27MHz 水晶振動子または外部からの 27MHz 入力から複数のオーディオ用クロックを同時に生成します 出力周波数は端子設定により選択できますので各種オーディオシステムに適用することができます AK8133 は出力周波数近傍のスプリアスを大幅に軽減していますので水晶発振器を用いた場合と同等の

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc

Microsoft Word - TC74HCT245AP_AF_J_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HCT245AP,TC74HCT245AF Octal Bus Transceiver TC74HCT245A は シリコンゲート CMOS 技術を用いた高速 CMOS 8 回路入り双方向性バスバッファです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 入力は TTL レべルですので TTL レベルのバスに直結可能です

More information

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します

7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します 7-1 Digital IC のライブラリの準備について [ 目的 ] 実験では 74HC00 を使用するので SPICE モデルを入手する [ 方法 ] LTspice User site からライブラリとシンボルを Download します http://groups.yahoo.com/neo/groups/ltspice/files/%20lib/digital%2074hcxxx (( 注意

More information

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤

統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 DVLSI 領域会議 (2011/7/2) DVLSI 安浦チーム 1 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤 統合的高信頼化設計のためのモデル化と検出 訂正 回復技術 研究代表者安浦寛人九州大学大学院システム情報科学研究院 研究の目標 さまざまな種類のエラー ( 製造故障 ソフトエラー タイミングエラー 設計誤り 不完全な仕様に基づく誤り 悪意のある攻撃など ) に対して 統一的な視点からディジタルLSIシステムのディペンダビリティを確保するための設計技術の確立を目指す ディペンダビリティの解析と対策回路の合成を行うEA

More information

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える

RLC 共振回路 概要 RLC 回路は, ラジオや通信工学, 発信器などに広く使われる. この回路の目的は, 特定の周波数のときに大きな電流を得ることである. 使い方には, 周波数を設定し外へ発する, 外部からの周波数に合わせて同調する, がある. このように, 周波数を扱うことから, 交流を考える 共振回路 概要 回路は ラジオや通信工学 などに広く使われる この回路の目的は 特定の周波数のときに大きな電流を得ることである 使い方には 周波数を設定し外へ発する 外部からの周波数に合わせて同調する がある このように 周波数を扱うことから 交流を考える 特に ( キャパシタ ) と ( インダクタ ) のそれぞれが 周波数によってインピーダンス *) が変わることが回路解釈の鍵になることに注目する

More information

Presentation Title Arial 28pt Bold Agilent Blue

Presentation Title Arial 28pt Bold Agilent Blue Agilent EEsof 3D EM Application series 磁気共鳴による無線電力伝送システムの解析 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリングアプリケーション エンジニア 佐々木広明 Page 1 アプリケーション概要 実情と現状の問題点 非接触による電力の供給システムは 以前から研究 実用化されていますが そのほとんどが電磁誘導の原理を利用したシステムで

More information

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している

S1F77330 シリーズ USB 用バススイッチ IC 2 to 1 Bus Switch 概要 S1F77330 シリーズは USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用している USB 用バススイッチ IC 2 to 1 Bus Switch 概要 は USB アプリケーションに適したバススイッチ IC です CMOS プロセスを採用しているため 低消費電力を特徴としています パッケージは小型の WCSP を採用しているため 高密度実装への対応が可能です 本 IC の入力にレベルシフト回路内蔵のため 外付けレベルシフト回路は不要です 特長 入力電圧範囲 :3.0V~3.6V

More information

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度

絶対最大定格 (T a =25 ) 項目記号定格単位 入力電圧 V IN 消費電力 P D (7805~7810) 35 (7812~7815) 35 (7818~7824) 40 TO-220F 16(T C 70 ) TO (T C 25 ) 1(Ta=25 ) V W 接合部温度 3 端子正定電圧電源 概要 NJM7800 シリーズは, シリーズレギュレータ回路を,I チップ上に集積した正出力 3 端子レギュレータ ICです 放熱板を付けることにより,1A 以上の出力電流にて使用可能です 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (1.5A max.) バイポーラ構造 外形 TO-220F, TO-252 NJM7800FA

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

IBIS Quality Framework IBIS モデル品質向上のための枠組み

IBIS Quality Framework IBIS モデル品質向上のための枠組み Quality Framework モデル品質向上のための枠組み EDA 標準 WG 1 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 2 目次 - 目次 - 1. 活動の背景 2. Quality Framework 3. ウェブサイトのご紹介 4. Frameworkの活用方法 3 1. 活動の背景

More information

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄

NJM78M00 3 端子正定電圧電源 概要 NJM78M00 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄 3 端子正定電圧電源 概要 シリーズは,NJM78L00 シリーズを更に高性能化した安定化電源用 ICです 出力電流が 500mA と大きいので, 余裕ある回路設計が可能になります 用途はテレビ, ステレオ, 等の民生用機器から通信機, 測定器等の工業用電子機器迄広くご利用頂けます 外形 特徴 過電流保護回路内蔵 サーマルシャットダウン内蔵 高リップルリジェクション 高出力電流 (500mA max.)

More information

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電

電気的特性 (Ta=25 C) 項目 記号 Min. Typ. Max. 単位 電源電圧 Vdd V 電源電流 Ivdd ma サンプルホールド電圧 1 Vref V サンプルホールド電流 1 Iref ma サンプルホールド電 1024 画素の高速ラインレート近赤外イメージセンサ (0.9~1.7 μm) 多チャンネル高速ラインレートを必要とする異物選別や医療診断装置用として設計された1024 ch 近赤外 / 高速リニアイメージセンサです 信号処理回路にはCTIA (Capacitive Transimpedance Amplifi er) を採用し サンプルホールド回路を介する事で全画素同時蓄積を行いながら 読み出しを可能にしています

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日

SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 SP-1221 LIN I/F 基板 ユーザーズマニュアル 作成日 :2017 年 10 月 17 日 目次 1. 配線方法... 3 2. KV-Studio 設定... 6 3. 制御方法... 7 4. 一般仕様... 9 2 1. 配線方法 A B C 3 4 2 E 1 D 購入時の内容物 番号 項目 1 2 3 SP-1221 基板 MIL34 ピンフラットケーブル 2m(KV-C16XTD)

More information

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着

形式 :WYPD 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着 絶縁 2 出力計装用変換器 W UNIT シリーズ パルスアイソレータ ( センサ用電源付 2 出力形 ) 主な機能と特長 パルス入力信号を絶縁して各種のパルス出力信号に変換 オープンコレクタ 電圧パルス リレー接点パルス出力を用意 センサ用電源内蔵 耐電圧 2000V AC 密着取付可能 アプリケーション例 フィールド側のパルス信号を直流的に絶縁してノイズ対策を行う パルス出力の種類を変換 ( 例

More information

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc

Microsoft Word - TC4017BP_BF_J_P10_060601_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC4017BP,TC4017BF TC4017BP/TC4017BF Decade Counter/Divider は ステージの D タイプ フリップフロップより成る 進ジョンソンカウンタで 出力を 進数に変換するためのデコーダを内蔵しています CLOCK あるいは CLOCK INHIBIT 入力に印加されたカウントパルスの数により Q0~Q9

More information

Microsoft PowerPoint - 基礎電気理論 07回目 11月30日

Microsoft PowerPoint - 基礎電気理論 07回目 11月30日 基礎電気理論 7 回目 月 30 日 ( 月 ) 時限 次回授業 時間 : 月 30 日 ( 月 )( 本日 )4 時限 場所 : B-3 L,, インピーダンス教科書 58 ページから 64 ページ http://ir.cs.yamanashi.ac.jp/~ysuzuki/kisodenki/ 授業評価アンケート ( 中間期評価 ) NS の授業のコミュニティに以下の項目について記入してください

More information

<4D F736F F D20837E836A837D E82CC88D98FED E12E646F63>

<4D F736F F D20837E836A837D E82CC88D98FED E12E646F63> 振動分析計 VA-12 を用いた精密診断事例 リオン株式会社 振動分析計 VA-12 を用いた精密診断事例を紹介します 振動分析計 VA-12 は 振動計と高機能 FFT アナライザが一体となったハンディタイプの測定器です 振動計として使用する場合は加速度 速度 変位の同時計測 FFT アナライザとして使用する場合は 3200 ライン分解能 20kHz の連続リアルタイム分析が可能です また カラー液晶に日本語表示がされます

More information

推奨端子電圧 電源電圧 Vdd V クロックパルス電圧 Highレベル Vdd Vdd Vdd V V(CLK) Lowレベル V スタートパルス電圧 Highレベル Vdd Vdd Vdd V V(ST)

推奨端子電圧 電源電圧 Vdd V クロックパルス電圧 Highレベル Vdd Vdd Vdd V V(CLK) Lowレベル V スタートパルス電圧 Highレベル Vdd Vdd Vdd V V(ST) CMOS リニアイメージセンサ S10227-10 小型 樹脂封止型 CMOS イメージセンサ S10227-10 は 従来品 (S9227 シリーズ ) と比べて小型で高いコストパフォーマンスを実現した樹脂封止型の CMOS リニ アイメージセンサです 特長 用途 小型で高いコストパフォーマンスを実現表面実装型パッケージ : 4.4 9.1 1.6 t mm 画素ピッチ : 12.5 µm 画素高さ

More information

CCD リニアイメージセンサ S11491 近赤外高感度 高速ラインレート 近赤外域で高感度を実現した裏面入射型 CCD リニアイメージセンサです マルチポート読み出し (1 ポート当たり 25 MHz max.) により 70 khz の高速ラインレートを実現しました 特長 用途 近赤外高感度 Q

CCD リニアイメージセンサ S11491 近赤外高感度 高速ラインレート 近赤外域で高感度を実現した裏面入射型 CCD リニアイメージセンサです マルチポート読み出し (1 ポート当たり 25 MHz max.) により 70 khz の高速ラインレートを実現しました 特長 用途 近赤外高感度 Q 近赤外高感度 高速ラインレート 近赤外域で高感度を実現した裏面入射型 です マルチポート読み出し ( ポート当たり 5 MHz max.) により 70 khz の高速ラインレートを実現しました 特長 用途 近赤外高感度 QE=70% (λ=900 nm), QE=40% (λ=000 nm) 高速ラインレート : 70 khz max. 低エタロニング OCT ( 光干渉断層計 ) 分光測光 構成

More information

97-3j

97-3j DT INTERNATIONAL RECTIFIER APPLICATION ENG 233 KANSAS ST. EL SEGUNDO,CA.90245 TEL(310)322-3331 FAX(310)322-3332 97 3J 制御 I C によって駆動されるパワー段の過渡時の注意点 Chris Chey John Parry 訳 アイアールファーイースト株式会社 目次 ; 1. はじめに

More information

オペアンプの容量負荷による発振について

オペアンプの容量負荷による発振について Alicatin Nte オペアンプシリーズ オペアンプの容量負荷による発振について 目次 :. オペアンプの周波数特性について 2. 位相遅れと発振について 3. オペアンプの位相遅れの原因 4. 安定性の確認方法 ( 増幅回路 ) 5. 安定性の確認方法 ( 全帰還回路 / ボルテージフォロア ) 6. 安定性の確認方法まとめ 7. 容量負荷による発振の対策方法 ( 出力分離抵抗 ) 8. 容量負荷による発振の対策方法

More information

Microsoft PowerPoint - Renesas_AdvancedPPmL(2010_11_11_rev).ppt [互換モード]

Microsoft PowerPoint - Renesas_AdvancedPPmL(2010_11_11_rev).ppt [互換モード] Agilent EEsof 3D EM Application series 高速差動伝送ライン Advaced PPmL の評価 アジレント テクノロジー第 3 営業統括部 EDA アプリケーション エンジニアリング Page 1 アプリケーション概要 高速差動伝送路の特性評価 伝送レートの高速化に伴い 分布定数の考え方による伝送線路特性の評価が重要となると共に 伝送線路の高密度伝送線路の高密度化により

More information

Microsoft PowerPoint pptx

Microsoft PowerPoint pptx 4.2 小信号パラメータ 1 電圧利得をどのように求めるか 電圧ー電流変換 入力信号の変化 dv BE I I e 1 v be の振幅から i b を求めるのは難しい? 電流増幅 電流ー電圧変換 di B di C h FE 電流と電圧の関係が指数関数になっているのが問題 (-RC), ただし RL がない場合 dv CE 出力信号の変化 2 pn 接合の非線形性への対処 I B 直流バイアスに対する抵抗

More information

ミニ分光器 MS シリーズ C10988MA-01 C11708MA MEMS 技術とイメージセンサ技術を融合した超小型のミニ分光器 ミニ分光器 MSシリーズは MEMS 技術とイメージセンサ技術を融合し 親指大の超小型サイズ ( mm) を実現したモバイル測定機器組み込み用

ミニ分光器 MS シリーズ C10988MA-01 C11708MA MEMS 技術とイメージセンサ技術を融合した超小型のミニ分光器 ミニ分光器 MSシリーズは MEMS 技術とイメージセンサ技術を融合し 親指大の超小型サイズ ( mm) を実現したモバイル測定機器組み込み用 MEMS 技術とイメージセンサ技術を融合した超小型の MSシリーズは MEMS 技術とイメージセンサ技術を融合し 親指大の超小型サイズ (27.6 16.8 13 mm) を実現したモバイル測定機器組み込み用の分光器ヘッドです 入射スリットと一体のCMOSイメージセンサを使用し 光学系として凸面レンズにナノインプリントでグレーティングを形成することで従来のRCシリーズに比べて1/3 以下の体積を実現しています

More information

推奨端子電圧 (Ta=25 C) 電源電圧 Vdd V クロックパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V スタートパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V ブロ

推奨端子電圧 (Ta=25 C) 電源電圧 Vdd V クロックパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V スタートパルス電圧 Highレベル 3 Vdd Vdd 0.25 V V() Lowレベル V ブロ 画素ごとにアンプを内蔵することで高感度を実現 は 画素ごとにアンプを内蔵することで高感度を実現した CMOS リニアイメージセンサです 画素サイズ 14 14 µm 2048 画素で長尺の受光面 ( 有効受光長 28.672 mm) となっています 特長 用途 画素サイズ : 14 14 μm 2048 画素 有効受光面長 : 28.672 mm 高感度 : 50 V/(lx s) 全画素同時蓄積

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 平成 17 年度前期大学院 情報デバイス工学特論 第 6 回 中里和郎 ノイズ 今回の講義内容は William J. Dally and John W. Poulton Digital Systems Engineering Cambridge University Press, 1998 6 (pp. 260-303) の内容に従っている ノイズ VR = VS + VN V S : 望まれる

More information

TC74HC00AP/AF

TC74HC00AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC00AP,TC74HC00AF Quad 2-Input NAND Gate TC74HC00A は シリコンゲート CMOS 技術を用いた高速 CMOS 2 入力 NAND ゲートです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます 内部回路はバッファ付きの 3 段構成であり 高い雑音余裕度と安定な出力が得られます

More information

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc

Microsoft Word - TC74HC107AP_AF_J_P9_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC17AP,TC74HC17AF Dual J-K Flip-Flop with Clear TC74HC17A は シリコンゲート CMOS 技術を用いた高速 CMOS JK フリップフロップです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます J および K 入力に与えられた論理レベルに従って クロックの立ち下がりで出力が変化します

More information

スライド 1

スライド 1 パワーインダクタ および高誘電率系チップ積層セラミックコンデンサの動的モデルについて 1 v1.01 2015/6 24 August 2015 パワーインダクタの動的モデルについて 2 24 August 2015 24 August 2015 動的モデルの必要性 Q. なぜ動的モデルが必要なのか? A. 静的モデルでは リアルタイムに変化するインダクタンスを反映したシミュレーション結果が得られないから

More information

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点

形式 :AEDY 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 直流出力付リミッタラーム AE UNIT シリーズ ディストリビュータリミッタラーム主な機能と特長 直流出力付プラグイン形の上下限警報器 入力短絡保護回路付 サムロータリスイッチ設定方式 ( 最小桁 1%) 警報時のリレー励磁 非励磁が選択可能 出力接点はトランスファ形 (c 接点 ) リレー接点は 110V DC 使用可 AEDY-12345-67 価格基本価格 75,000 円加算価格 110V

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 2017 年度 v1 1 機械工学実験実習 オペアンプの基礎と応用 オペアンプは, 世の中の様々な装置の信号処理に利用されています本実験は, 回路構築 信号計測を通し, オペアンプの理解をめざします オペアンプの回路 ( 音楽との関連 ) 入力信号 機能 - 振幅の増幅 / 低減 ( 音量調整 ) - 特定周波数の抽出 ( 音質の改善 ) - 信号の合成 ( 音の合成 ) - 信号の強化 ( マイクに入力される微弱な音信号の強化

More information

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること

NJU72501 チャージポンプ内蔵 圧電用スイッチングドライバ 概要 NJU72501はチャージポンプ回路を内蔵し 最大で3V 入力から 18Vppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更すること チャージポンプ内蔵 圧電用スイッチングドライバ 概要 はチャージポンプ回路を内蔵し 最大で3 入力から 18ppで圧電サウンダを駆動することができます このチャージポンプ回路には1 倍 2 倍 3 倍昇圧切り替え機能を備えており 圧電サウンダの音量を変更することができます また シャットダウン機能を備えており 入力信号を検出し無信号入力時には内部回路を停止することでバッテリーの長寿命化に貢献します

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 平成 17 年度前期大学院 情報デバイス工学特論 第 9 回 中里和郎 基本 CMOS アナログ回路 (2) 今回の講義内容は 谷口研二 :LS 設計者のための CMOS アナログ回路入門 CQ 出版 2005 の第 6 章ー 9 章 (pp. 99-158) の内容に従っている 講義では谷口先生のプレゼンテーション資料も使用 ソース接地増幅回路の入力許容範囲 V B M 2 M 1 M 2 V in

More information

S1F77330 シリーズテクニカルマニュアル Rev.2.1

S1F77330 シリーズテクニカルマニュアル Rev.2.1 シリーズテクニカルマニュアル Rev.2.1 本資料のご使用につきましては 次の点にご留意願います 本資料の内容については 予告無く変更することがあります 1. 本資料の一部 または全部を弊社に無断で転載 または 複製など他の目的に使用することは堅くお断りいたします 2. 本資料に掲載される応用回路 プログラム 使用方法等はあくまでも参考情報であり これらに起因する第三者の知的財産権およびその他の権利侵害あるいは損害の発生に対し

More information

elm73xxxxxxa_jp.indd

elm73xxxxxxa_jp.indd 概要 ELM73xxxxxxAは 遅延機能付きの CMOS 電圧検出器 ICであり 遅延時間は外付けコンデンサで調整可能です また 非常に低い消費電流 (Tpy.26nA) で動作します ELM73xxxBxxAシリーズはマニュアルリセット機能付きタイプで いつでも手動でリセットすることができます 出力スタイルは N-chオープンドレイン出力と CMOS 出力の 2つがあります 電源電圧 ddは検出電圧以下に低下したとき

More information

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc

Microsoft Word - TC74HC245_640AP_AF_P8_060201_.doc 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC245AP,TC74HC245AF,TC74HC640AP,TC74HC640AF Octal Bus Traceiver TC74HC245AP/AF 3-State, Non-Inverting TC74HC640AP/AF 3-State, Inverting TC74HC245AP/640AP TC74HC245A/640A

More information

TC74HC14AP/AF

TC74HC14AP/AF 東芝 CMOS デジタル集積回路シリコンモノリシック TC74HC14AP,TC74HC14AF Hex Schmitt Inverter TC74HC14A は シリコンゲート CMOS 技術を用いた高速 CMOS シュミットトリガインバータです CMOS の特長である低い消費電力で LSTTL に匹敵する高速動作を実現できます ピン接続 機能は TC74HCU04 と同じですが すべての入力は約

More information

BU4S66G2 : アナログスイッチ/ロジックIC

BU4S66G2 : アナログスイッチ/ロジックIC 汎用 CMOS ロジック IC シリーズ (BU4S,BU4B シリーズ ) シングルゲート CMOS ロジックアナログスイッチ No. 9JAT2 概要 は 汎用 CMOS 双方向アナログスイッチ BU466B の 1 回路分だけを SSOP に納めた超小型 1ch アナログスイッチ IC です イネーブル入力 (CONT) を H レベルにすると スイッチと入出力間はローインピーダンス (ON

More information