untitled

Size: px
Start display at page:

Download "untitled"

Transcription

1 1 OrCAD PSpice OrCAD PSpice OrCAD PSpice OrCAD Capture OrCAD Capture OrCAD 15.7 Demo OrCAD Capture CIS Demo 1.1 Capture 1

2 OrCAD Capture OrCAD Capture [File] [New] [Project] 1.3 Project 2

3 New Project 1.4 New Project clip1 clip1 [Analog or Mixed A/D] PSpice [Browse] [OK] [Analog or Mixed A/D] 1.5 New Project 3

4 Create PSpice Project [Create a blank project] [OK] 1.6 New Project Clip1 Capture Capture 4

5 Place Part [Place] [Part] 1.8 Place Part [Add Library] 1.9 Place Part 5

6 breakout.olb Dbreak breakout.olb [ ] 1.10 breakout Part List Dbreak [OK]

7 [Esc] [End Mode] [R] [Rotate] R [Rotate] V [Mirror Vertically] H [Millor Horizontally] 7

8 Place Part [Place] [Part] 1.13 Place Part [Add Library] 1.14 Place Part 8

9 analog.olb R analog.olb [ ] 1.15 analog Part List R [OK]

10 [Esc] [End Mode] 1.17 Place Part [Place] [Part] 1.18 Place Part 10

11 [Add Library] 1.19 Place Part source.olb vsin source.olb [ ] 1.20 source 11

12 Part List R [OK] 1.21 [Esc] [End Mode]

13 Place ground [Place] [Ground] 1.23 Ground 0 SOURCE [OK]

14 [Esc] [End Mode] 1.25 Place Wire [Place] [Wire] 1.23 Place Wire 14

15

16

17 VOFF=0V VAMPL= V FREQ=50Hz VOFF 0V VOFF 1.26 Value 0 [OK] 1.27 VOFF 17

18 V VAMPL Value [OK] 50Hz FREQ Value 50 [OK]

19 PSpice 1 New Simulation Profile [PSpice] [New Simulation Profile] 1.29 New Simulation Profile New Simulation tran [Create] 1.30 New Simulation 19

20 Simulation Settings Run to time 100 Maximum step size 0.1 [OK] Run to time Maximum step size 1.31 Simulation Settings Run to time Maximum step size Voltage Level Marker

21 Run PSpice [PSpice] [Run]

22 [Plot] [Add Plot to Window]

23 1.34 [Ctrl][X] [Edit] [Delete]

24 [Ctrl][P] [Edit] [Past] 1.36 [Windows] [Copy to Clipboard] [OK] [Ctrl][P]

25 X 60ms 80ms [Plot] [Axis Settings] 1.38 User Defined 60ms 80 s [OK] X 1.39 Axis Setting 25

26 1.40 X 60ms 80ms 1.40 X Y [Plot] [Axis Settings] Y Axis User Defined Y [OK] Y 1.41 Y 26

27 27

28 V 0.7V

29 DC 2.4 V1 source VDC Current Marker Current Marker

30 New Simulation Profile 2.6 Analysis type DC Sweep Voltage source Name Start value End vale Increment 0.01 [OK] DC Sweep 2.6 DC Run PSpice V 2.7 DC 30

31 V 0.7V 0.7V V 0V SEL>> -5.0V 5.0V V(R1:2) 0V -5.0V 0s 20ms 40ms 60ms 80ms 100ms V(R1:1) Time

32 0.7V 0.7V 0.7V V 0V -5.0V 5.0V V(R1:2) 0V SEL>> -5.0V 0s 20ms 40ms 60ms 80ms 100ms V(R1:1) Time

33 0.7V 0V 0V V 0V SEL>> -5.0V 5.0V V(V1:+) 0V -5.0V 0s 20ms 40ms 60ms 80ms 100ms V(D1:2) Time

34 0.7V 0V 0V V 0V -5.0V 5.0V V(V1:+) 0V SEL>> -5.0V 0s 20ms 40ms 60ms 80ms 100ms V(D1:1) Time

35 R V V SEL>> -200V 200V V(D1:1) 0V -200V 0s 20ms 40ms 60ms 80ms 100ms V(R1:1) Time

36 D2 D4 D1 D3 2 Voltage Differential Marker V V -200V 200V V(V1:+) 0V SEL>> -200V 0s 20ms 40ms 60ms 80ms 100ms V(D3:2,R1:1) Time

37

38 30uA 20uA 10uA 0A 0V 200mV 400mV 600mV 800mV IB(Q1) IB(Q1) V_V1 38

39 3.0mA 2.0mA 1.0mA 0A 0A 5uA 10uA 15uA 20uA 25uA 30uA IC(Q1) I_I1 39

40 40

41 4.0mA 3.0mA 2.0mA 1.0mA 0A 0V 1.0V 2.0V 3.0V 4.0V 5.0V IC(Q1) V_V1 41

42 40uA 20uA 0A 4.0mA IB(Q1) 2.0mA SEL>> 0A 0s 1.0ms 2.0ms 3.0ms 4.0ms 5.0ms IC(Q1) Time 42

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD

B1 Ver ( ), SPICE.,,,,. * : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD B1 er. 3.05 (2019.03.27), SPICE.,,,,. * 1 1. 1. 1 1.. 2. : student : jikken. [ ] ( TarouOsaka). (, ) 1 SPICE ( SPICE. *1 OrCAD https://www.orcad.com/jp/resources/orcad-downloads.. 1 2. SPICE 1. SPICE Windows

More information

Taro13-OrCADManual jtd

Taro13-OrCADManual jtd OrCAD マニュアル 1. 目的 回路エディタ付きの電子回路回路シミュレータの使用法の基本を習得する 2. 使用するソフトウェアについて SPICE( Simulation Program with Integrated Circuit Emphasis スパイス と読む ) は 1970 年代に開発された電子回路シミュレーションのためのプログラムである このプログラムをもとに多数の商用版の他に制限付きながらフリーで配布されているものもあり

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MultiClamp 700B Protocol Protocol MultiClamp 700B Mode Telegraph Mode Telegraph MultiClamp Commnader Mode(voltage clamp/current clamp) Clampex Protocol Protocol 1.Digitizer-Amplifure Connections: Digidata

More information

ProVisionaire Control V3.0セットアップガイド

ProVisionaire Control V3.0セットアップガイド ProVisionaire Control V3 1 Manual Development Group 2018 Yamaha Corporation JA 2 3 4 5 NOTE 6 7 8 9 q w e r t r t y u y q w u e 10 3. NOTE 1. 2. 11 4. NOTE 5. Tips 12 2. 1. 13 3. 4. Tips 14 5. 1. 2. 3.

More information

スライド タイトルなし

スライド タイトルなし LightCycler Software Ver.3.5 : 200206 1/30 Windows NT Windows NT Ctrl + Alt + Delete LightCycler 3 Front Screen 2/30 LightCycler3 Front RUN Data Analysis LightCycler Data Analysis Edit Graphics Defaults

More information

TDK Equivalent Circuit Model Library

TDK Equivalent Circuit Model Library TDK SPICE Netlist Library を OrCAD Capture,PSpice で使用する方法 TDK 株式会社アプリケーションセンター江畑克史 Oct. 01, 2008 AN-NL08B002_ja はじめに TDK では, 各種受動電子部品の SPICE モデル集 TDK SPICE Netlist Library を公開しております. TDK SPICE Netlist Library

More information

P15 P211 1 P1 P4 P2 P3 P4 P17

P15 P211 1 P1 P4 P2 P3 P4 P17 P15 P211 1 P1 P4 P2 P3 P4 P17 P3 P4 P7 P8 P9 2 1 Q A P17 P17 1 2 3 4 3 5 6 7 8 2 P17 Q A P17 4 1 2 3 4 2 P17 P4 P12 P17 P4 5 5 6 7 8 2 P4 P4 6 1 2 3 4 3 P17 P10 P17 7 5 6 7 8 4 0120-096-991 P17 8 1 2 3

More information

How to use Keysight B2900A Quick I/V Measurement Software

How to use Keysight B2900A Quick I/V Measurement Software Keysight B2900A Quick I/V メジャメントソフトウエアの使い方 Keysight Technologies 28 th of Sep, 2015 Keysight B2900A Quick I/V メジャメントソフトウエア PC 向けの無償ソフトウエアです 本体と PC 間は GPIB, USB あるいは LAN で接続できます 最大 4 チャンネルの SMU や Power

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016

等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 等価回路モデルライブラリ TDK Corporation Passive Application Center July 15, 2016 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015

等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 等価回路モデルライブラリ TDK Corporation Passive Application Center July. 1, 2015 ご注意 < データの適用範囲 > 本ライブラリに記載のデータは, 温度 25, 直流バイアスなし (DC バイアスモデル, 直流重畳モデルを除く ), 小振幅動作のときの代表値です. 従って, この条件から大きく異なる場合は適切な結果が得られないことがあります.

More information

Version1.5

Version1.5 Version1.5 Version Date Version1.0 Version1.1 Version1.2 Version1.3 Version1.4 Version1.5 Test J/K/SE0_NAK USB-IF Test Procedure FS Upstream Signal Quality Test Receiver Sensitivity Test DG2040 Packet

More information

Cleaner XL 1.5 クイックインストールガイド

Cleaner XL 1.5 クイックインストールガイド Autodesk Cleaner XL 1.5 Contents Cleaner XL 1.5 2 1. Cleaner XL 3 2. Cleaner XL 9 3. Cleaner XL 12 4. Cleaner XL 16 5. 32 2 1. Cleaner XL 1. Cleaner XL Cleaner XL Administrators Cleaner XL Windows Media

More information

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13

ProVAL Recent Projects, ProVAL Online 3 Recent Projects ProVAL Online Show Online Content on the Start Page Page 13 ProVAL Unit System Enable Recording Log Preferred Language Default File Type Default Project Path ProVAL : Unit SystemUse SI Units SI SI USCS Enable Recording Log Language Default File Type Default Project

More information

Lab GPIO_35 GPIO

Lab GPIO_35 GPIO 6,GPIO, PSoC 3/5 GPIO HW Polling and Interrupt PSoC Experiment Lab PSoC 3/5 GPIO Experiment Course Material 6 V2.02 October 15th. 2012 GPIO_35.PPT (65 Slides) Renji Mikami Renji_Mikami@nifty.com Lab GPIO_35

More information

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用

アナログ回路 I 参考資料 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用 アナログ回路 I 参考資料 2014.04.27 版 LTspice を用いたアナログ回路 I の再現 第 2 回目の内容 電通大 先進理工 坂本克好 [ 目的と内容について ] この文章の目的は 電気通信大学 先進理工学科におけるアナログ回路 I の第二回目の実験内容について LTspice を用いて再現することである 従って LTspice の使用方法などの詳細は 各自で調査する必要があります

More information

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B

Unidirectional Measurement Current-Shunt Monitor with Dual Comparators (Rev. B www.tij.co.jp INA206 INA207 INA208 INA206-INA208 INA206-INA208 V S 1 14 V IN+ V S 1 10 V IN+ OUT CMP1 IN /0.6V REF 2 3 1.2V REF 13 12 V IN 1.2V REF OUT OUT CMP1 IN+ 2 3 9 8 V IN CMP1 OUT CMP1 IN+ 4 11

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

untitled

untitled TEM with CCD Ver. 5.1 18 10 25 6 50 FILAMENT OFF 80 V () FILAMENT 2 25 FILAMENT OFF FILAMENT 1 min 2-5 L TEM(&CCD) FILAMENT ON CCD( ) - 1 - 3 TEM 3 CCD 3 4 5 6 7 (CCD ) 7 CCD 7 10 10 11 CCD &TEM 11-2 -

More information

Microsoft Word - RMD_75.doc

Microsoft Word - RMD_75.doc Review Multi Dimensional Data Multi Dimensional Acquire *.nd MetaMorph.nd Muliti Dimensional Acquisition Stack Tiff Multi Dimensional Acquisition Z nd nd 1 Review Multi Dimensional Data nd Review Multi

More information

ASB-3000 ユーザーズマニュアル

ASB-3000 ユーザーズマニュアル ASB-001-081204 Magic LAB ADTEK SYSTEM SCIENCE Co.,Ltd. 1 1 1 2 3 4 5 7 8 8 9 10 10 14 MagicScope 16 16 19 21 25 28 29 29 29 Function 30 30 30 30 30 31 31 32 33 34 36 36 37 37 38 39 40 41 43 function 1

More information

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July

Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 Copyright Murata Manufacturing Co., Ltd. All rights reserved. 10 July Library for Cadence OrCAD Capture ユーザマニュアル 2018 年 7 月 株式会社村田製作所 Ver.1.0 10 July 2018 目次 1. 本マニュアルについて 2.( 前準備 ) ライブラリの解凍と保存 3. プロジェクトの作成 4. シミュレーションプロファイルの作成 5.LIBファイルの登録 6.OLBファイルの登録 7. コンデンサのインピーダンス計算例

More information

1

1 DVC512/DVC512GOLD 日本語取扱説明書 1 3 3 3 USB/DMX 4 6 6 SETUP 7 8 9 9 10 11 11 12 12 12 13 13 14 15 AUTO/LTP/HTP 16 17 17 18 19 19 Scene Audio BPM Sync 20 Audio BPM Sync21 21 22 22 23 24 Tricks & Tips25 3 XLR

More information

AD8212: 高電圧の電流シャント・モニタ

AD8212: 高電圧の電流シャント・モニタ 7 V typ 7 0 V MSOP : 40 V+ V SENSE DC/DC BIAS CIRCUIT CURRENT COMPENSATION I OUT COM BIAS ALPHA 094-00 V PNP 0 7 V typ PNP PNP REV. A REVISION 007 Analog Devices, Inc. All rights reserved. 0-9 -- 0 40

More information

WinPSKユーザーズガイド

WinPSKユーザーズガイド 8 ae4jy@mindspring.com - 1 - - 2 - 5 5.. 5 5 5.. 6 6 1 1 1 1 1 1 1 1-3 - Status Bar 36-4 - Peter Martinez, WinPSK MHz Pentium Windows95,98 NT4.0 DX VGA640x480 Help MB RAM CPU WinPSK CPU CPU Too Slow CPU

More information

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( )

ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : : ( ) ZEMAX Nagata DLL Volume-CAD c Copyright by RIKEN All Rights Reserved : 23 1 26 : ( ) ii 1. Nagata DLL 1 2. Nagata 1 3. VObj 2 3. 1............................................... 2 3. 2.................................................

More information

( ) ー ( () ) 250 200 150 100 50 0 51 20 54 59 33 35 91 92 93 98 99 94 6 7 7 8 9 11 18 17 18 20 22 23 10 9 8 9 9 9 62 40 66 74 41 47 21 22 23 24 25 26 10 8 6 4 2 0 m3/s 7 41.3 5 5 18.4

More information

midicontrolsurfaces60_J.book

midicontrolsurfaces60_J.book Pro Tools Version 6.x for TDM or LE Systems on Windows or Macintosh 932911839-01 REV A MNL,MIDI CTRL SURF 6.1,JPN .............................. 1...........................................................

More information

DV-RA1000HD_Jpn(b)

DV-RA1000HD_Jpn(b) » D00927901A DV-RA1000HD High Definition Audio Master Recorder á á á è ì í ì ì í í ó è í è í í 2 TASCAM DV-RA1000HD ì ó ó ó ó á í ó ì ì í í ì ó í TASCAM DV-RA1000HD 3 4 TASCAM DV-RA1000HD TASCAM DV-RA1000HD

More information

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of

Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of Introduction Purpose The course describes library configuration and usage in the High Performance Embedded Workshop (HEW), which speeds development of software for embedded systems. Objectives Learn the

More information

Keysight B1505A パワーデバイス アナライザ / カーブトレーサ HCSMU/HVSMU による最大 20 A/3000 V の測定例 クイック スタート ガイド

Keysight B1505A パワーデバイス アナライザ / カーブトレーサ HCSMU/HVSMU による最大 20 A/3000 V の測定例 クイック スタート ガイド Keysight B1505A パワーデバイス アナライザ / カーブトレーサ HCSMU/HVSMU による最大 20 A/3000 V の測定例 クイック スタート ガイド Agilent B1505A30 MOSFETBJT Agilent B1505A Agilent B1500A HVSMU 3000 VdcHPSMU 200 Vdc 3Agilent B1500A B1505A StopMeasurement

More information

フリーセルプロの使い方

フリーセルプロの使い方 FreeCell Pro 011 2 FreeCell Pro 2002 FCPRO.HLP FreeCell Pro6.4 6.5 FreeCell Pro 1000 http://solitairelaboratory.com/fcpro.html FreeCell Pro 2009 2 3 FreeCell Pro Microsoft FC 0 Windows 3.1 FreeCell Pr

More information

PDW-75MD

PDW-75MD 3-270-633-02(1) PDW-75MD 2007 Sony Corporation m a b c 2 ... 2 6 6... 8... 8 1... 10... 10... 12... 13... 13... 19... 23 2... 25... 26... 27... 27... 28... 29... 29... 29... 30... 31... 33 3... 34... 34...

More information

JNOD32OPE_1.book

JNOD32OPE_1.book ESET NOD32 Antivirus Z028138-01 [2013 9 ] ii ...ii...ii 1... 1 1.1 ESET NOD32 Antivirus... 1 1.2... 1 1.3... 1 1.4... 2 2 ESET NOD32 Antivirus... 3 2.1 ESET NOD32 AntivirusEZ Controller... 3 2.1.1 Windows

More information

GNU Emacs GNU Emacs

GNU Emacs GNU Emacs GNU Emacs 2015 10 2 1 GNU Emacs 1 1.1....................................... 1 1.2....................................... 1 1.2.1..................................... 1 1.2.2.....................................

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

Microsoft Word - PIVマニュアル.doc

Microsoft Word - PIVマニュアル.doc (Nikkor 50mm f/1.2) C CCD (PixelFly QE) LAN USB BNC 1 1.1 CCD 注意 CCD CCD 1) 注意 2) 3) LAN LAN 4) 3 2 5) 2 1.2 1) Came Ware Came Ware 2) [Camera] [Camera Control] Camera mode Video Trigger Mode Intern CameraControl

More information

スライド 1

スライド 1 1 1. 2 2. 3 isplever 4 5 6 7 8 9 VHDL 10 VHDL 4 Decode cnt = "1010" High Low DOUT CLK 25MHz 50MHz clk_inst Cnt[3:0] RST 2 4 1010 11 library ieee; library xp; use xp.components.all; use ieee.std_logic_1164.all;

More information

XPS分析のための簡易マニュアル

XPS分析のための簡易マニュアル XPS 200.. XPS XPS XPS XPS 1. N2 0.4MPa 2. ON PUMP 3. POWER SUPPLY CONTROL UNIT SPEC ON 4. POWER SUPPLY CONTROL UNIT X-R ON 0.4MPa RESET 5. X-RAY POWER UNIT (a) AUTO/MANUMANU (b) Al/MgMg (c) FILAMENT ON/OFFOFF

More information

Keysight Technologies スイッチング電源の測定

Keysight Technologies スイッチング電源の測定 Keysight Technologies Application Note Keysight InfiniiVision 3000T/4000 X Keysight 3000T 4000 X Switching Mode Power Supply SMPS (DUT) SMPS Keysight InfiniiVision 3000T 4000 X DSOX3PWR DSOX4PWR Power

More information

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows

Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker IT Service Management V11.0L10 IT Service Management - Centric Manager Windows Systemwalker IT Service Management Systemwalker Centric Manager IT Service

More information

Image Browser Ver3.5 Manual. Zeiss Image Browser Ver3.5 p2. p3. p5. p7. p9. p13. p17. p19. p23 Appendix 1. p25 Appendix 2. p27 LSM Image Browser http:

Image Browser Ver3.5 Manual. Zeiss Image Browser Ver3.5 p2. p3. p5. p7. p9. p13. p17. p19. p23 Appendix 1. p25 Appendix 2. p27 LSM Image Browser http: Image Browser Ver3.5 Manual 200505av10 Image Browser Ver3.5 Manual. Zeiss Image Browser Ver3.5 p2. p3. p5. p7. p9. p13. p17. p19. p23 Appendix 1. p25 Appendix 2. p27 LSM Image Browser http://www.zeiss.de/imagebrowser

More information

作業手順手引き

作業手順手引き Praat Introduction to Praat: Let's take a look at sounds : * WS Dec/01/'14 : ver. 1.1.4 1. Praat STEP 1: STEP 2: STEP 3: STEP 4: STEP 2 Editor STEP 3 Dynamic menu 2 FAQ: Pitch analysis http://goo.gl/r65la

More information

スライド 1

スライド 1 Power Point 2003 ILC HELPDESK 1 1 1 a) 1 b) 3 a) b b-1) Step1 Step2 Step3 F4 PowerPoint b-2) Step1 Step2 Step3 Step4 OK Step5 I c) c-1) Step1 Step2 5 7 7 Step3 c-2) Step1 Step2 9 9 Step3 8 2 5

More information

NL-22/NL-32取扱説明書_操作編

NL-22/NL-32取扱説明書_操作編 MIC / Preamp ATT NL-32 A C ATT AMP 1 AMP 2 AMP 3 FLAT FLAT CAL.SIG. OVER LOAD DET. AMP 4 AMP 5 A/D D/A CONV. AMP 6 AMP 7 A/D CONV. Vref. AMP 8 AMP 10 DC OUT AMP 9 FILTER OUT AC DC OUT AC OUT KEY SW Start

More information

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract

Step 1 Feature Extraction Featuer Extraction Feature Extraction Featuer Extraction Image Analysis Start>Programs>Agilent-Life Sciences>Feature Extract Agilent G2565AA Feature Extraction Step 1 Feature Extraction Step 2 Step 3 Step 4 ( ) Step 5 ( ) Step 6 Step 7 Step 8 Feature Extraction Step 9 Step 10 Feature Extraction Step 11 Feature Extraction Step

More information

cms.pdf

cms.pdf RoHS compliant INTERNAL STRUTURE FEATURES Part name over Slider Housing Slider contact Fixed contact Terminal pin lick spring Ground terminal Material Steel (SP), Tin-plated Polyamide opper alloy, Gold-plated

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

untitled

untitled January 2009 Rotor-Gene Q Sample & Assay Technologies 1 1-1 1.1 1-1 1.2 Rotor-Gene Q 1-1 1.3 Rotor-Gene Q 1-1 1.4 1-1 2 2-1 2.1 Run File 2-1 2.2 2-2 2.3 2-2 2.4 PCR 2-3 2.5 2-3 2.6 2-4 2.7 2-4 2.8 2-5

More information

Q&A目次.PDF

Q&A目次.PDF LSM5Pascal Q A Q1 Timeseries Q2 Timeseries Q3 Q4 Q5 1 Q6 Image Browser Q7 Q8 Q9 Acquire Time Series Manual Time 1 Unit sec 1 7 Cycle Delay n n 1 Time Interval n n 1 Options Settings TimeSeries Page 40

More information

Microsoft Word - MetaFluor70取扱説明.doc

Microsoft Word - MetaFluor70取扱説明.doc MetaFluor (Version 7.7) MetaFluor 1. MetaFluor MetaFluor Meta Imaging Series 7.x Meta Imaging Series Administrator CCD Meta Imaging Series Administrator CCD Molecular Devices Japan KK/ Imaging Team (1/14)

More information

スケジュールによるメール送信イベントの設定方法 ( ファームウエア v6.5x 以降 ) はじめに 本ドキュメントでは Axis ネットワークカメラのファームウエア v6.5x 以降で 指定された曜日と時間帯に 画像を添付したメールを送信するための設定方法を説明します 設定手順 手順 1:Axis

スケジュールによるメール送信イベントの設定方法 ( ファームウエア v6.5x 以降 ) はじめに 本ドキュメントでは Axis ネットワークカメラのファームウエア v6.5x 以降で 指定された曜日と時間帯に 画像を添付したメールを送信するための設定方法を説明します 設定手順 手順 1:Axis はじめに 本ドキュメントでは Axis ネットワークカメラのファームウエア v6.5x 以降で 指定された曜日と時間帯に 画像を添付したメールを送信するための設定方法を説明します 設定手順 手順 1:Axis ネットワークカメラの設定ページにアクセスする 1. Chrome や Firefox などの Web ブラウザから お使いの Axis ネットワークカメラ ( 以下 カメラ と呼ぶ ) へアクセスします

More information

第2回:データの加工・整理

第2回:データの加工・整理 2 2018 4 13 1 / 24 1. 2. Excel 3. Stata 4. Stata 5. Stata 2 / 24 1 cross section data e.g., 47 2009 time series data e.g., 1999 2014 5 panel data e.g., 47 1999 2014 5 3 / 24 micro data aggregate data 4

More information

RT-PCR プロトコール.PDF

RT-PCR プロトコール.PDF Real -Time RT-PCR icycler iq Bio Rad RT-PCR RT-PCR 1 icycler iq Bio Rad icycler iq 30 2 Ready-To-Go T-Primed First-Strand Kit (amersham pharmacia biotech) Ready-To-Go T-Primed First-Strand Kit QuantiTect

More information

A-GAGE High - Resolution MINI ARRAY Instruction Manual Printed in Japan J20005M

A-GAGE High - Resolution MINI ARRAY Instruction Manual     Printed in Japan J20005M A-GAGE High - Resolution MINI ARRAY Instruction Manual E-mail : mail@bannerengineering.co.jp http://www.bannerengineering.com Printed in Japan J20005M4 page 2 page 3 page 4 page 5 page 6 page 7 page 8

More information

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb

自動シャットタ<3099>ウンクイックインストールカ<3099>イト<3099>.indb OMRON Corporation. 2011 All Rights Reserved. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 title Red Hat Enterprise Linux Server (2.6.18-8.el5xen serial) root (hd0,1) kernel /xen.gz-2.6.18-8.el5 console=vga xencons=ttys16

More information

BRADY Worldwide, Inc. BRADY BRADY BRADY BMP 51 BRADY Worldwide, Inc. Microsoft Windows Microsoft BRADY 2011 BRADY Worldwide, Inc. All Rights Reserved

BRADY Worldwide, Inc. BRADY BRADY BRADY BMP 51 BRADY Worldwide, Inc. Microsoft Windows Microsoft BRADY 2011 BRADY Worldwide, Inc. All Rights Reserved BRADY Worldwide, Inc. BRADY BRADY BRADY BMP 51 BRADY Worldwide, Inc. Microsoft Windows Microsoft BRADY 2011 BRADY Worldwide, Inc. All Rights Reserved 2011 2 2 Brady Worldwide Inc. 6555 West Good Hope Road

More information

グローバル タイトル変換テーブルの編集

グローバル タイトル変換テーブルの編集 19 CHAPTER SGM SGM GUI Global Title Translation GTT; 800 ID GTT Signaling Connection Control Part SCCP; Service Switching Point SSP; SubSystem Number SSN; GTT GTT CSV 16 SGM 3.3 3.1 4.0 4.1 4.2 GTT GTT

More information

netcdf

netcdf 1. Anetcdf.rb netcdf C ruby open new create NetCDF C filename String NetCDF NetCDF_open mode r r, w share false true or false open open netcdf filename String NetCDF NetCDF_create noclobber false true

More information

4

4 I/O 2AO 0/4-20mA / DC6-18V 16Bit Ver. 1.0.0 2 750-563 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

液晶プロジェクター CP-S317J/X327J 取扱説明書

液晶プロジェクター CP-S317J/X327J 取扱説明書 CP-S317/CP-X327/CP-X328 STANDBY/ON VIDEO RGB SEARCH ASPECT MAGNIFY ON OFF FREEZE POSITION ESC HOME END PAGE DOWN ENTER AUTO PAGE UP BLANK VOLUME MUTE KEYSTONE MENU RESET TANDBY/ON INPUT KEYSTONE RESET

More information

124

124 [ ] [ ] 18 123 124 ( ) 125 126 127 128 5,683,062 2,306,419 18.2 14.0 12 5389 87 13 257 88 12 22 27 56.7 41.6 1 1.7 15 129 55 38.8 13.3 15 2004 15 1 3 84.8 29.3 1 23.9 38.0 10 10 35 35 15 5 56.5.31 55.4.1

More information

Microsoft Word - T4_LTspice_1

Microsoft Word - T4_LTspice_1 LTspice の使い方 ( 初級 ) v1.3 Aug.2015 目的 電子回路シミュレータ LTspice( 無償 素子数制限なし ) の使い方を習得する ただし すべては網羅できないので 分からないときは参考文献を参照してください インストール インターネットで LTpice で検索または 下記リニアテクノロジーホームページからダウンロード! LTspice IV を選択する URL http://www.linear-tech.co.jp/designtools/software/

More information

: (EQS) /EQUATIONS V1 = 30*V F1 + E1; V2 = 25*V *F1 + E2; V3 = 16*V *F1 + E3; V4 = 10*V F2 + E4; V5 = 19*V99

: (EQS) /EQUATIONS V1 = 30*V F1 + E1; V2 = 25*V *F1 + E2; V3 = 16*V *F1 + E3; V4 = 10*V F2 + E4; V5 = 19*V99 218 6 219 6.11: (EQS) /EQUATIONS V1 = 30*V999 + 1F1 + E1; V2 = 25*V999 +.54*F1 + E2; V3 = 16*V999 + 1.46*F1 + E3; V4 = 10*V999 + 1F2 + E4; V5 = 19*V999 + 1.29*F2 + E5; V6 = 17*V999 + 2.22*F2 + E6; CALIS.

More information

4

4 I/O 2AO DC0-10V/ 10V 16Bit Ver. 1.0.0 2 750-562 Copyright 2006 by WAGO Kontakttechnik GmbH All rights reserved. 136-0071 1-5-7 ND TEL 03-5627-2059 FAX 03-5627-2055 http://www.wago.co.jp/io/ WAGO Kontakttechnik

More information

PMW-PZ1

PMW-PZ1 4-570-704-02 (1) PMW-PZ1 2015 Sony Corporation ...3...3...4...5 4K...5 4K...5...6...6 SxS...6 XQD...6...7...7...8...8...8...8...9...9...10...11...11...12...12...12...14...14...15...16 XQD...16...17 MPEG-4

More information

LM35 高精度・摂氏直読温度センサIC

LM35 高精度・摂氏直読温度センサIC Precision Centigrade Temperature Sensors Literature Number: JAJSB56 IC A IC D IC IC ( ) IC ( K) 1/4 55 150 3/4 60 A 0.1 55 150 C 40 110 ( 10 ) TO-46 C CA D TO-92 C IC CA IC 19831026 24120 11800 ds005516

More information

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\

New version (2.15.1) of Specview is now available Dismiss Windows Specview.bat set spv= Specview set jhome= JAVA (C:\Program Files\Java\jre<version>\ Specview VO 2012 2012/3/26 Specview Specview STSCI(Space Telescope SCience Institute) VO Specview Web page http://www.stsci.edu/resources/software hardware/specview http://specview.stsci.edu/javahelp/main.html

More information

1 1.1 (JCPRG) 30 Nuclear Reaction Data File (NRDF) PC GSYS2.4 JCPRG GSYS2.4 Java Windows, Linux, Max OS X, FreeBSD GUI PNG, GIF, JPEG X Y GSYS2

1 1.1 (JCPRG) 30 Nuclear Reaction Data File (NRDF) PC GSYS2.4 JCPRG GSYS2.4 Java Windows, Linux, Max OS X, FreeBSD GUI PNG, GIF, JPEG X Y GSYS2 (GSYS2.4) GSYS2.4 Manual SUZUKI Ryusuke Hokkaido University Hospital Abstract GSYS2.4 is an update version of GSYS version 2. Main features added in this version are Magnifying glass function, Automatically

More information

JEE 上の Adobe Experience Manager forms のインストールおよびデプロイ(WebLogic 版)

JEE 上の Adobe Experience Manager forms のインストールおよびデプロイ(WebLogic 版) JEE ADOBE EXPERIENCE MANAGER FORMS WEBLOGIC http://help.adobe.com/ja_jp/legalnotices/index.html iii 1 AEM forms 2 AEM Forms 3 4 - WebLogic Server 4.1............................................................................

More information

untitled

untitled GDS-122 User Manual... 1...1... 7... 8... 9... 10... 12...13... 14...14...15...16...17...17...18... 19...19...22...24...26...29...31...32...33... 36...36...38...39...40 TABLE OF CONTENTS... 43...43...45...46...47...48...

More information

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool

Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool Introduction Purpose This training course describes the configuration and session features of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that

More information

main.dvi

main.dvi CAD 2001 12 1 1, Verilog-HDL, Verilog-HDL. Verilog-HDL,, FPGA,, HDL,. 1.1, 1. (a) (b) (c) FPGA (d). 2. 10,, Verilog-HDL, FPGA,. 1.2,,,, html. % netscape ref0177/html/index.html.,, View Encoding Japanese

More information

P072-076.indd

P072-076.indd 3 STEP0 STEP1 STEP2 STEP3 STEP4 072 3STEP4 STEP3 STEP2 STEP1 STEP0 073 3 STEP0 STEP1 STEP2 STEP3 STEP4 074 3STEP4 STEP3 STEP2 STEP1 STEP0 075 3 STEP0 STEP1 STEP2 STEP3 STEP4 076 3STEP4 STEP3 STEP2 STEP1

More information

STEP1 STEP3 STEP2 STEP4 STEP6 STEP5 STEP7 10,000,000 2,060 38 0 0 0 1978 4 1 2015 9 30 15,000,000 2,060 38 0 0 0 197941 2016930 10,000,000 2,060 38 0 0 0 197941 2016930 3 000 000 0 0 0 600 15

More information