発表者紹介 名前 谷所基行 ( たにしょもとゆき ) 自画像 画数 8[ 画 ] 描画時間 5[s] 仕事 スイッチ装置アーキテクチャ検討 ASSP ドライバー開発 NPU マイクロコード開発 測定器ファームウェア開発 (SDT) その他 ときどき ハッカソンに参加 1

Size: px
Start display at page:

Download "発表者紹介 名前 谷所基行 ( たにしょもとゆき ) 自画像 画数 8[ 画 ] 描画時間 5[s] 仕事 スイッチ装置アーキテクチャ検討 ASSP ドライバー開発 NPU マイクロコード開発 測定器ファームウェア開発 (SDT) その他 ときどき ハッカソンに参加 1"

Transcription

1 P4/Cを用いた SmartNICファームウェア開発の取り組み 富士通アドバンストテクノロジ株式会社システム技術統括部谷所基行 0

2 発表者紹介 名前 谷所基行 ( たにしょもとゆき ) 自画像 画数 8[ 画 ] 描画時間 5[s] 仕事 スイッチ装置アーキテクチャ検討 ASSP ドライバー開発 NPU マイクロコード開発 測定器ファームウェア開発 (SDT) その他 ときどき ハッカソンに参加 1

3 アジェンダ 取組み背景 P4の紹介 SmartNICによるPoC 検証 まとめ 2

4 スイッチデバイスの位置づけ 性能 ASSP ASSP... Application Specific Standard Product NPU... Network Processing Unit FPGA... Field-Programmable Gate Array デバイス変更 プログラム変更 プログラマブルスイッチデバイス (NPU, FPGA) プロトコルの新しいプロト即時対応例 )VxLAN, INT, PTP CPU 処理のアクセラレーション NIC SmartNIC CPU 3 汎用性

5 装置構成と機能分担 スイッチ装置概念図 CPU (x86, ARM, PowerPC, Z80,...) コントロールプレーン Table Management OAM Processing... Packet Buffering Lookup Counter, Meter, etc. DRAM TCAM SRAM PCIe プログラマブルスイッチデバイス (NPU, FPGA) データプレーン L2/L3 Switching, Routing,... ACL Traffic Management... Packet In Packet Out どうやって作る? 4

6 従来の開発手法 低水準言語による開発 パケット処理の仕様書 ハードウェアに近いコーディング 独自アセンブラ /C HW 記述言語 C/C++ マイクロコード RTL 各々の言語知識 ソフトウェア GCC/LLVM ファームウェア 専用コンパイラ ネットリスト 合成配置配線 各々の開発環境の知識 CPU NPU FPGA 各々のアーキテクチャの理解 1. スイッチデバイスのほぼ全般の知識が必要 熟練度に依存する 2. 設計資産が他のスイッチデバイスへ流用できない 5

7 あるべき開発手法 高位言語 Compile(Front-end) 高位言語の知識 ( 仕様書にしても良い ) 中間層 ( デバイスに対し抽象化 ) Compile(Back-end) C/C++ マイクロコード RTL 各々の言語知識 ソフトウェア GCC/LLVM ファームウェア 専用コンパイラ ネットリスト 合成配置配線 不要 各々の開発環境の知識 CPU NPU FPGA 各々のアーキテクチャの理解 1. 必要なスキルセットが少なく済む 熟練度に依存しない 2. 設計資産が他のスイッチデバイスへ流用できる 6

8 P4 の特徴 標準化団体 :P4.org( 社以上 デバイスベンダーや装置ベンダー DC 事業者など ドメイン特化型高位言語 : データプレーンを記述 プロトコル非依存 デバイス非依存 P4 14 P4 16 ( より柔軟なフォワーディングモデルに仕様変更 ) Workshop: 開催頻度年 1,2 回 ( P4 をハードウェアに実装できる事例が増えてきている Netronome, Barefoot, Netcope,... コミュニティ :Open-NFP ( データプレーン開発のためのコミュニティ (P4 や ebpf OvS など ) プロジェクト数 :50 超 (Firewall, INT, DDoS Detection など ) 7

9 P4 のデータプレーンモデル 1. Parser ( ヘッダー解析 ) 識別したいプロトコルを事前に定義 ( 独自プロトコルも可 ) 2. Match ( テーブル参照 ) Ingress Port, DA/SA, DIP/SIP,... exact/ternary/lpm/ Action ( 参照結果に従い 処理を実行 ) 宛先 (Port/Queue) 決定 パケット編集 ( フィールド書換え ヘッダー追加 / 削除 ) 廃棄 カウンターなど Control データプレーン Parser Match Action Extern プロトコル処理以外は外部オブジェクト ( 例 ) チェックサム Metering 8

10 ( 記述 1)Header definition header.p4 header_type eth_hdr { fields { dst : 48; src : 48; e_type : 16; header_type ipv4_hdr { fields { version : 4; ihl : 4; diffserv : 8; len : 16; id : 16; flags : 3; offset : 13; ttl : 8; protocol : 8; checksum : 16; sip : 32; dip : 32; 識別したいヘッダーを定義 独自ヘッダー定義も OK dst e_type dst src sip src ver ihl tos len id flags offset ttl protocol checksum dip 9

11 ( 記述 2)Parser parser.p4 #include header.p4 header eth_hdr eth; header ip4_hdr ipv4; parser start { return parse_eth; parser parse_eth { extract(eth); return select(eth.etype) { 0x800: parse_ipv4; default: ingress; parser parse_ipv4 { extract(ipv4); return ingress; parser start extract(eth); eth_hdr(14b) select(eth.etype) eth_hdr 0x800 extract(ipv4); eth_hdr return ingress; ipv4(20b) 10

12 ( 記述 3)Control(Match Action) #include parser.p4 control.p4 action do_drop() { ip_drop(); drop(); action route_ipv4(src, port) { modify_field(eth.src, src); modify_field(standard_metadata.egress_port, port); table routing { reads { ipv4.dip : lpm; actions { do_drop; route_ipv4; size : 1024; eth(14b) control ingress reads ipv4.dip : lpm; ipv4(20b) action ip_drop(); do_drop(); DIP miss / hit action route_ipv4(); control ingress { apply(routing); 11

13 ( 設定 )Table configuration table Match (ipv4.dip) Action action src port routing route_ipv4 00:11:aa:00:00: route_ipv4 00:22:bb:00:00: route_ipv4 00:33:cc:00:00: *.*.*.* do_drop (default) - - P4 として明確なフォーマットは規定されていない テーブル設定 API はスイッチベンダーが提供 12

14 SmartNIC による PoC 検証 性能検証 従来の開発手法との違い コード量 工数 13

15 SmartNIC によるアクセラレーション サーバー負荷要因をオフロード CPU CPU アプリケーション アプリケーション パケット処理 NIC SmartNIC パケット処理 プログラマブルではない ( 機能追加できない ) プログラマブル オフロード可能 OVS Load Balance SDT IPsec... 14

16 性能検証 (1) ホストに全部転送 パケット処理をすべてCPUにやってもらうことを想定 どれだけホストに転送できるかチェック CPU SmartNIC 15

17 性能検証 (2) レイヤー 4 まで識別 オリジナルのテストヘッダーを定義 UDP Port = なら テストプロトコル フィールドが Match すれば ホスト転送 自分宛のパケットでなければ 折り返す SmartNIC に高負荷をかけたいため MAC Eth IPv4 UDP TEST header_type test_t { fields { test_0 : 16; test_1 : 16; test_2 : 16; test_3 : 16; CPU SmartNIC 16

18 性能検証結果 (1) SDT Firmware 20GE P4 Firmware [SDT] Netronome Agilio-CX 2x10GE [DUT] Netronome Agilio-CX 1x40GE Case Input rate Output rate ホスト転送 29,762[Kpps] -[Kpps] - 17

19 性能検証結果 (2) SDT Firmware 20GE P4 Firmware [SDT] Netronome Agilio-CX 2x10GE [DUT] Netronome Agilio-CX 1x40GE Case Input rate Output rate ホスト転送 ワイヤー転送 29,762[Kpps] -[Kpps] -[Kpps] 18

20 コード量と工数比率 P4 (PoC 実績 ) マイクロコード ( 過去の実績 ) 効果 開発コード量 [step] * 250 1,000 1/4 開発工数比率 ** マイクロコード 装置仕様検討 基本設計 /3 * ファームウェアのみ ( 制御プログラム含まず ) ** 全作業のうち P4 関連工数を算出. マイクロコードは過去の開発実績から PoC 相当の検討分を抽出 詳細設計 コーディング単体試験結合試験 P4 装置仕様検討 基本設計 結合試験 1. 最適化ほぼ不要 2. テーブル設定 API 作成不要 ( 制御プログラム作成工数削減 ) 3. 自動テーブルアサイン ( メモリ割当て検討不要 ) 19

21 PoC 検証でわかったこと P4 でできること データプレーンのプロトコル処理 コントロールプレーン用 API( 策定中 ) マルチキャスト ブロードキャスト 実際は外部オブジェクトによって実行される P4 でできないこと QoS 関連 スイッチデバイスによって機能的な違いが多い パケット分割 結合処理 バックグラウンド用パイプライン パケット生成機能 テーブル割り当て最適化 20

22 P4/C を用いた SmartNIC ファームウェア開発 P4 C : プロトコル処理 :P4 未対応機能 P4 C P4 Netronome の開発環境であれば C-Sandbox として未対応機能が実現可能 21

23 まとめ P4/C を使った開発手法 いくつか制約はあるが データプレーンの開発効率は 高いと言える P4 の柔軟性を最大限活用し 新たな市場ニーズに対応 22

24

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD

Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 0 Copyright 2017 FUJITSU AD Software-Defined Tester(SDT) を用いた高精度遅延測定による SDN/NFV 品質向上 富士通アドバンストテクノロジ株式会社システム技術統括部大久保克彦 fatec-ood-2017@dl.jp.fujitsu.com 0 背景 リアルタイム性が必要な分野への適用 5G( 低遅延 ) による新たなサービス展開 ゲーム VoIP 動画医療金融車載 遅延がサービス品質に直結 End-to-End

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション ソフトウェアパケット処理とハードウェアパケット処理 ~ アーキテクチャ ~ JANOG 37 @ 名古屋 海老澤健太郎 ebiken.g@gmail.com Twitter: @ebiken Janog 37 ソフトウェア & ハードウェアパケット処理 Kentaro Ebisawa 2016/01/22 1 自己紹介 : 海老澤健太郎 (Kentaro Ebisawa) ネットワーク系 海外 NW

More information

Microsoft PowerPoint ppt [互換モード]

Microsoft PowerPoint ppt [互換モード] 第 5 回 IP 計算機ネットワーク IP Internet Protocol Layer 3 ネットワーク層 機能 アドレッシング (IP アドレス ) IP Reachable 到達可能 = インターネット L2ではローカルのみ通信可 ルーティング フラグメント IP パケット IP パケット IP ヘッダ ペイロード イーサネットヘッダ ペイロード FCS 4 14 1500 イーサネットペイロード

More information

第1回 ネットワークとは

第1回 ネットワークとは 第 6 回 IP 計算機ネットワーク ルーティング IP パケットの宛先に応じて次の転送先インターフェースを決定 D:192.168.30.5 パケット 192.168.10.0/24 fe0 192.168.20.0/24 fe1 fe3 fe2 192.168.30.0/24 ルーティングテーブル 192.168.40.0/24 192.168.10.0 direct fe0 192.168.20.0

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション VTN Manager の内部実装 2014-10-29 NEC ソフトウェア構成 VTN はAD-SAL Applicationとして実装 今後 MD-SALに移行予定 管理対象スイッチはOpenFlowスイッチのみ OpenFlow 1.0/1.3に対応 VTN Manager Switch Manager Topology Manager Routing Forwarding Rules Manager

More information

_JANOG44_LINE_tsuchiya

_JANOG44_LINE_tsuchiya Next Data Center Networking with SRv6 - Data plane - Toshiki Tsuchiya, LINE Corporation 2019/07/26 JANOG44 Meeting Agenda LINEのサービスとネットワーク データプレーン コントロールプレーン LINE LINE 現状のネットワークと課題 Full L3 CLOS Network

More information

Presentation Template Koji Komatsu

Presentation Template Koji Komatsu OpenStack Day 2013 Panel Discussion 2013-03-12 ヴイエムウェア株式会社テクニカルアライアンスマネージャ小松康二 アジェンダ VMware のクラウドソリューション VMware と OpenStack クラウド時代の IT エンジニア - 2 - VMware のクラウドソリューション SDDC ( = Software-Defined Datacenter

More information

エンタープライズ ソリューション カンファレンス IT Technical Seminar V15 (2014) SDNフレームワークの解説と OpenFlowコントローラのプログラミング および実演 2014年6月20日 株式会社オープントーン 金融ソリューション事業部 菱野孝史

エンタープライズ ソリューション カンファレンス IT Technical Seminar V15 (2014) SDNフレームワークの解説と OpenFlowコントローラのプログラミング および実演 2014年6月20日 株式会社オープントーン 金融ソリューション事業部 菱野孝史 エンタープライズ ソリューション カンファレンス IT Technical Seminar V15 (2014) SDNフレームワークの解説と OpenFlowコントローラのプログラミング および実演 2014年6月20日 株式会社オープントーン 金融ソリューション事業部 菱野孝史 アジェンダ 第一部 第二部 SDN/OpenFlowとは OpenFlowの仕組み OpenFlowによるパケット転送の流れ

More information

160311_icm2015-muramatsu-v2.pptx

160311_icm2015-muramatsu-v2.pptx Linux におけるパケット処理機構の 性能評価に基づいた NFV 導 の 検討 村松真, 川島 太, 中 裕貴, 林經正, 松尾啓志 名古屋 業 学 学院 株式会社ボスコ テクノロジーズ ICM 研究会 2016/03/11 研究 的 VM 仮想 NIC バックエンド機構 仮想化環境 仮想スイッチ パケット処理機構 物理環境 性能要因を考察 汎 IA サーバ NFV 環境に適したサーバ構成を検討

More information

Trema を使って OpenFlow プログラミングを 始めてみませんか? 鈴木一哉 Trema 開発チーム 2012/4/13

Trema を使って OpenFlow プログラミングを 始めてみませんか? 鈴木一哉 Trema 開発チーム 2012/4/13 Trema を使って OpenFlow プログラミングを 始めてみませんか? 鈴木一哉 Trema 開発チーム 2012/4/13 Agenda OpenFlow 概要 OpenFlow とは OpenFlow プログラミング Trema の紹介 Trema とは Trema を使ったコントローラ開発 すぐ動かしてみる ためのネットワークエミュレータ機能 サンプルアプリ (Sliceable Routing

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

[公開OK][空閑さん資料]kuga-ovs-fpga.pptx

[公開OK][空閑さん資料]kuga-ovs-fpga.pptx FPGA を使って Open vswitch の データプレーンを作る 慶應義塾 大学空閑洋平, 松 谷健史 SDN Japan 2012/12/7 Open vswitch を使った DIY 設計スイッチの発表です 5000 円前後の FPGA を想定 1000BASE- T マルチポート NIC Offloading 機能 CPU+SW 部と転送 HW 部の分離離

More information

Microsoft PowerPoint - JANOG19-u10-GigaPcap(NonAnim).ppt

Microsoft PowerPoint - JANOG19-u10-GigaPcap(NonAnim).ppt Non-Sampling Flow Inspection を支える技術 ユーテン ネットワークス株式会新籾晶 アジェンダ Non-Sampling Flow Inspection を支える技術 背景のおさらいトラヒック解析の問題点 (Non-Sampling 観点 ) 解決策ハードウェア作っちゃいました!! 本当に Non-Sampling は実現できたのか? 性能比較による検証 まとめ 1 背景

More information

F コマンド

F コマンド この章では コマンド名が F で始まる Cisco Nexus 1000V コマンドについて説明します find 特定の文字列で始まるファイル名を検索するには find コマンドを使用します find filename-prefix filename-prefix ファイル名の最初の部分または全体を指定します ファイル名のプレフィクスでは 大文字と小文字が区別されます なし 任意 変更内容このコマンドが追加されました

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション システムの基礎 第 3 回ネットワークを学ぼう! 今回の対象 Application PC 端末 今回 クラウド SSL TLS MW ネットワーク OS HW サーバ L3,L2 スイッチ http InterNet IPsec Network プロキシ FireWall IPS IDS 階層のイメージ WAF AP MW OS 言語 DB ログ管理 バックアップ 仮想技術 AD FTP 監視 DNS

More information

Microsoft PowerPoint - ie ppt

Microsoft PowerPoint - ie ppt インターネット工学 () インターネット工学 () 教室後方のスクリーンより後の座席の利用を禁止します 九州産業大学情報科学部下川俊彦 インターネット工学 1 インターネット工学 2 2007 年度講義日程 9/19( 水 ) 休講 9/26( 水 ) 第 1 回 10/ ( 水 ) 第 2 回 10/10( 水 ) 第 回 10/17( 水 ) 第 回 10/2( 水 ) 第 5 回 10/27(

More information

Managed Firewall NATユースケース

Managed Firewall NATユースケース Managed Firewall NAT ユースケース 2.0 版 2017/7/25 NTT Communications 更新履歴 版数更新日更新内容 1.0 2017/07/18 初版 2.0 2017/07/25 送信元 NAT NAPT に変更 ユースケースを追加 Use Case 1 Managed Firewall 送信先 NAT/DESTINATION NAT ~ 送信先のポート変換なし

More information

F コマンド

F コマンド この章では コマンド名が F で始まる Cisco NX-OS システム管理コマンドについて説明します flow exporter Flexible NetFlow フローエクスポータを作成するか既存の Flexible NetFlow フローエクスポータを変更して Flexible NetFlow フローエクスポータコンフィギュレーションモードに入るには グローバルコンフィギュレーションモードで

More information

PIM-SSMマルチキャストネットワーク

PIM-SSMマルチキャストネットワーク 主な目的 シンプル且つセキュアなを構築したい 帯域を有効活用したネットワークを構築したい 概要 IP カメラや動画コンテンツ VoD( ビデオオンデマンド ) など一つのコンテンツを複数クライアントで共有するマルチキャストの利用が一般的となり それに伴いマルチキャストルーティングの導入も増加しています 多く利用されている PIM-SM では ランデブーポイント (RP) の決定や配信経路の設計など設計が複雑になりがちですが

More information

Agenda IPv4 over IPv6 MAP MAP IPv4 over IPv6 MAP packet MAP Protocol MAP domain MAP domain ASAMAP ASAMAP 2

Agenda IPv4 over IPv6 MAP MAP IPv4 over IPv6 MAP packet MAP Protocol MAP domain MAP domain ASAMAP ASAMAP 2 MAP Tutorial @ 1 Agenda IPv4 over IPv6 MAP MAP IPv4 over IPv6 MAP packet MAP Protocol MAP domain MAP domain ASAMAP ASAMAP 2 IPv4 over IPv6 IPv6 network IPv4 service Internet Service ProviderISP IPv4 service

More information

パケットモニター (Wireshark) の使い方 第 1 版 1.Wireshark とは ネットワーク上 (LAN ケーブルに流れている ) のパケットを取得して その中の情報を画面に表示するソフトウェア (LAN アナライザーまたはパケットモニター ) の 1 つに Wiresh

パケットモニター (Wireshark) の使い方 第 1 版 1.Wireshark とは ネットワーク上 (LAN ケーブルに流れている ) のパケットを取得して その中の情報を画面に表示するソフトウェア (LAN アナライザーまたはパケットモニター ) の 1 つに Wiresh パケットモニター (Wireshark) の使い方 1.Wireshark とは ネットワーク上 (LAN ケーブルに流れている ) のパケットを取得して その中の情報を画面に表示するソフトウェア (LAN アナライザーまたはパケットモニター ) の 1 つに Wireshark がある Wireshark は 非常に高機能なオープンソース ( ソース コードが公開されている ) の LAN アナライザで

More information

第1回 ネットワークとは

第1回 ネットワークとは 第 6 回 IP 計算機ネットワーク 2 前回まで Ethernet LAN 内通信 MAC アドレス (32:43:55 : BA:F5:DE) IP アドレス ベンダ (OUI) NIC IP アドレス ( 187.45.147.154 ) network host 組織端末 IP アドレス : 187.45.147.154 どこの組織? どのネットワーク? ネットワークアドレス ネットワーク部

More information

ループ防止技術を使用して OSPFv3 を PE-CE プロトコルとして設定する

ループ防止技術を使用して OSPFv3 を PE-CE プロトコルとして設定する ループ防止技術を使用して OSPFv3 を PE-CE プロトコルとして設定する 目次 概要前提条件要件使用するコンポーネント背景説明設定ネットワーク図設定 DN ビット確認トラブルシューティング Cisco サポートコミュニティ - 特集対話 概要 このドキュメントでは Open Shortest Path First (1 バージョン 3 (OSPFv3) " を プロバイダーエッジ (PE )

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

IPv4

IPv4 IPv4 について インターネットプロトコルバージョン 4 1. 概要... 2 2. パケット... 2 3. アドレス... 4 3.1. アドレスのクラス... 4 3.2. 予約アドレス一覧... 5 4. 経路選択... 6 5. 断片化と再統合... 8 6. 関連... 9 7. 参考文献... 9 1 1. 概要 Internet Protocol version 4( インターネットプロトコルバージョン

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

技術的条件集別表 35 IP トランスポート仕様

技術的条件集別表 35 IP トランスポート仕様 技術的条件集別表 35 IP トランスポート仕様 参照規格一覧 [1] TTC JF-IETF-RFC4566 (03/2007): SDP: セッション記述プロトコル [2] IETF RFC2474 (12/1998): Definition of the Differentiated Services Field (DS Field) in the IPv4 and IPv6 Headers

More information

White Paper 高速部分画像検索キット(FPGA アクセラレーション)

White Paper 高速部分画像検索キット(FPGA アクセラレーション) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) White Paper 高速部分画像検索キット (FPGA アクセラレーション ) Page 1 of 7 http://www.fujitsu.com/primergy Content はじめに 3 部分画像検索とは 4 高速部分画像検索システム 5 高速部分画像検索の適用時の改善効果 6 検索結果 ( 一例 )

More information

オートビュー

オートビュー IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0 2013/04/18 株式会社 Javatel 2013 Javatel 1 目次 IODEP マニュアル SELCO マルチプレクサ SXC-16LT rev 1.0... 1 目次... 2 1 この文書について... 3 2 変更履歴... 4 3 ハードウェアの準備... 5 3.1 SELCO マルチプレクサ

More information

スライド 1

スライド 1 1 イーサネット OAM 技術の概要 ITU-T T Y.1731 と IEEE 802.1ag KDDI 研究所 光ネットワークアーキテクチャーグループ Ethernet OAM 関連標準 ITU-T Y.1731 OAM functions and Mechanisms for Ethernet based Networks Fault Management Diagnostics Performance

More information

amplification attacks とは 送信元を偽装した dns query による攻撃 帯域を埋める smurf attacks に類似 攻撃要素は IP spoofing amp 2006/07/14 Copyright (C) 2006 Internet Initiative Jap

amplification attacks とは 送信元を偽装した dns query による攻撃 帯域を埋める smurf attacks に類似 攻撃要素は IP spoofing amp 2006/07/14 Copyright (C) 2006 Internet Initiative Jap amplification attacks Matsuzaki Yoshinobu 2006/07/14 Copyright (C) 2006 Internet Initiative Japan Inc. 1 amplification attacks とは 送信元を偽装した dns query による攻撃 帯域を埋める smurf attacks に類似 攻撃要素は

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LAN 1. LAN,. NAT,., LAN. NTMobile Network Traversal with Mobilty [1]. NTMobile. OS TUN/TAP, LAN. 2. NTMobile NTMobile NAT, IPv4/IPv6,,. NTMobile. DC Direction Coordinator. NTMobile. DC,. NTMobile NTMfw.

More information

IPv4aaSを実現する技術の紹介

IPv4aaSを実現する技術の紹介 : ( ) : (IIJ) : 2003 4 ( ) IPv6 IIJ SEIL DS-Lite JANOG Softwire wg / Interop Tokyo 2013 IIJ SEIL MAP-E 2 IPv4aaS 3 4 IPv4aaS 5 IPv4 1990 IPv4 IPv4 32 IPv4 2 = 42 = IP IPv6 6 IPv6 1998 IPv6 (RFC2460) ICMP6,

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

tcp/ip.key

tcp/ip.key IP TCP IP ヘッダデータ部ヘッダデータ部ヘッダデータ部 Ethernet パケット Ethernet パケット Ethernet パケット IP(1) 0 8 16 24 31 () Version IHL () Time To Live () Identification () Type of Service ) Flags Protocol () Source Address IP) Destination

More information

情報通信の基礎

情報通信の基礎 情報通信の基礎 2016 年 5 月 19 日 ( 木 ) 第 4 回授業 1 本日の予定 グローバルIPアドレスとプライベートIPアドレス DHCPサーバ (IPアドレスの自動割り当て等) DNSサーバ ( 名前解決 ) MACアドレス ARP( アドレス解決プロトコル ) ネットワークの階層モデル アプリケーションを識別するポート番号 2 TCP/IP (Transmission Control

More information

Microsoft Word - Arista_Networks_VXLAN_White_Paper_KH.docx

Microsoft Word - Arista_Networks_VXLAN_White_Paper_KH.docx VXLAN: データセンター拡張の基盤技術ホワイトペーパー VXLAN(Virtual Extensible LAN) の概要 この文書では VXLANのしくみについて概要を説明します また 仮想インフラの導入時に いつどの部分でVXLANを使えばよいかという判断材料も提示します VXLANは アリスタ Broadcom Intel VMwareをはじめとする各社で仕様を策定した規格です 仮想データセンターの拡張性を向上させます

More information

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074>

<4D F736F F F696E74202D D4C82F08A B582BD A A F2E707074> SysML を活用したシステムエンジニアリング オージス総研組み込みソリューション部 1 アジェンダ 概要編なぜシステムエンジニアリングかシステムエンジニアリングとはシステムエンジニアリングとモデリング言語 SysML の特徴実践編機能要求を検討する要求を仕様化する振る舞いを検討する構造を検討する論理ブロックを物理ブロックに割り当てる性能を検討するまとめ 2 概要編 : なぜシステムエンジニアリングか

More information

パススルー IPSecトンネル インターフェイスに AVC トラフィックを有効に する 回避策

パススルー IPSecトンネル インターフェイスに AVC トラフィックを有効に する 回避策 目次 概要前提条件背景説明制限事項設定ネットワーク図初期設定 R2 R3 IPSec の設定 R2 EzPM 設定 回避策確認トラブルシューティング Cisco サポートコミュニティ - 特集対話 概要 この資料が収集装置に IPSec トンネルを通して AVC トラフィックを通過させるために必要な設定を説明したものです デフォルトで AVC 情報は収集装置に IPSec トンネルを渡ってエクスポートすることができません

More information

プログラマブル・データプレーン時代に向けた ネットワーク・オペレーション・スタック

プログラマブル・データプレーン時代に向けた ネットワーク・オペレーション・スタック プログラマブル データプレーン時代に向けたネットワーク オペレーション スタック ONIC Japan 2017 海老澤健太郎 @ Ponto Networks, Inc. プログラマブル データプレーン時代に向けたネットワーク オペレーション スタック 海老澤健太郎 @Ponto Networks, Inc. ONIC Japan 2017 1 Ponto Networks, Inc. Locations

More information

外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティング ループ/最適でないルーティングの設定例

外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティング ループ/最適でないルーティングの設定例 外部ルート向け Cisco IOS と NXOS 間の OSPF ルーティングループ / 最適でないルーティングの設定例 目次 はじめに前提条件要件使用するコンポーネント背景説明重要な情報 RFC 1583 セクション 16.4.6 からの抜粋 RFC 2328 セクション 16.4.1 からの抜粋設定シナリオ 1 ネットワーク図シナリオ 2 ネットワーク図推奨事項確認トラブルシューティング関連情報

More information

Microsoft PowerPoint - about_stack_ ppt [互換モード]

Microsoft PowerPoint - about_stack_ ppt [互換モード] 6LoWPAN ECHONET Lite で求められる通信規格とソフトウェアについて 株式会社スカイリー ネットワークス梅田英和 2013, Skyley Networks,Inc. 1 プロトコル スタック とは スタック = 積み重ね 階層構造 アプリケーション トランスポート層 (TCP, UDP) IPv6 層 6LowPAN MAC 層 (802.15.4/e) 物理層 (802.15.4g)

More information

Lagopus SDN/OpenFlow switch: yet another SDN/OF switch agent and high-performance software switch

Lagopus SDN/OpenFlow switch: yet another SDN/OF switch agent and high-performance software switch 汎用 x86 サーバを用いた高速なソフトウエアパケット処理技術 中島佳宏 This research is a part of the project for Research and Development of Network Virtualization Technology supported by the Ministry of Internal Affairs and Communications.

More information

IP: TCP: QoS 90 QoS IP IP IP

IP: TCP: QoS 90 QoS IP IP IP InternetWeek99 QoS DiffServ kjc@csl.sony.co.jp DiffServ DiffServ DiffServ DiffServ IP: TCP: QoS 90 QoS IP IP IP QoS QoS (admission control) (classifier) (shaping)(policing) (packet scheduler) (signaling)

More information

Catalyst 3850 スイッチのセキュリティ ACL TCAM 枯渇のトラブルシューティング

Catalyst 3850 スイッチのセキュリティ ACL TCAM 枯渇のトラブルシューティング Catalyst 3850 スイッチのセキュリティ ACL TCAM 枯渇のトラブルシューティング 目次 概要背景説明問題解決策 Catalyst 3850 スイッチのセキュリティ ACL TCAM のトラブルシューティング 概要 Catalyst 3850 スイッチはハードウェアのセキュリティアクセスコントロールリスト (ACL) がどのように実装されている そしてどのようにセキュリティ Ternary

More information

2ACL DC NTMobile ID ACL(Access Control List) DC Direction Request DC ID Access Check Request DC ACL Access Check Access Check Access Check Response DC

2ACL DC NTMobile ID ACL(Access Control List) DC Direction Request DC ID Access Check Request DC ACL Access Check Access Check Access Check Response DC NTMobile 103430037 1. IPv4/IPv6 NTMobileNetwork Traversal with Mobility [1] NTMobile NTMobile IPsec NAT IPsec GSCIPGrouping for Secure Communication for IPGSCIP NAT NTMobile ACL Access Control List ACL

More information

Microsoft PowerPoint - NV研究会_201404_amemiya_fin.pptx

Microsoft PowerPoint - NV研究会_201404_amemiya_fin.pptx : Access Gateway for of vnode System 2014/04/11 第 9 回 NV 研究会 宮宏 郎, 加藤順, 橋広, 上野仁, 阿 留健, 吉章次富 通株式会社 本研究成果は情報通信研究機構 (T) 委託研究 新世代ネットワークを えるネットワーク仮想化基盤技術の研究開発 課題ア統合管理型ネットワーク仮想化基盤技術の研究開発 により得られたものです vnode System

More information

オートビュー

オートビュー IODEP マニュアル PELCO マトリクススイッチャ CM6800 rev 1.0 2013/04/18 株式会社 Javatel 1 目次 IODEP マニュアル PELCO マトリクススイッチャ CM6800 rev 1.0... 1 目次... 2 1 この文書について... 3 2 変更履歴... 4 3 ハードウェアの準備... 5 3.1 PELCO マトリクススイッチャ CM6800

More information

スライド 1

スライド 1 仮想化環境でのパケット転送 - 性能測定結果 & 考察 - 海老澤健太郎 @ パラレルス株式会社 2011/12/01 Internet Week 2011 性能測定 構成 DUT (Device Under Test) - Dell PowerEdge R410 CPU x 2 : Xeon L5520 @ 2.27GHz QEMU VM e1000 VM virtio-net VM vhost-net

More information

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事

2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 2015 TRON Symposium セッション 組込み機器のための機能安全対応 TRON Safe Kernel TRON Safe Kernel の紹介 2015/12/10 株式会社日立超 LSIシステムズ製品ソリューション設計部トロンフォーラム TRON Safe Kernel WG 幹事 豊山 祐一 Hitachi ULSI Systems Co., Ltd. 2015. All rights

More information

wide93.dvi

wide93.dvi 5 161 1 1.1 DDT WG DDT WG 1. \DDT" 2. DDT WG DDT WG 1.2 x ( IP) y ( X.25) x y \x overy" x y 1.1 IP X.25 IP IP IPX Appletalk OSI IP \encapsulation" \encapsulation header" \decapsulation" 163 164 1993 WIDE

More information

アジェンダ 会社紹介 OFCONFIGについて NETCONFおよびYANGについて OFCONFIGユースケース A.I.Corporation 2

アジェンダ 会社紹介 OFCONFIGについて NETCONFおよびYANGについて OFCONFIGユースケース A.I.Corporation 2 NETCONF および YANG を使った OpenFlow スイッチの管理 A.I.Corporation 1 アジェンダ 会社紹介 OFCONFIGについて NETCONFおよびYANGについて OFCONFIGユースケース A.I.Corporation 2 会社紹介 A.I.Corporation 3 株式会社エーアイコーポレーション 会社業務 特長 機器組込み用ソフトウェア (Embedded

More information

エンジニアリング・サービスから見たMBD導入の成功・失敗

エンジニアリング・サービスから見たMBD導入の成功・失敗 2014 年 12 月 18 日 ( 金 ) 16:40-16:55 JMAAB 中部コンファレンス エンジニアリング サービスから見た MBD 導入の成功 失敗 COPYRIGHT (C) GAIO TECHNOLOGY ALL RIGHTS RESERVED 1 ガイオ テクノロジーとは 組み込み業界向け検証ツールメーカー コンパイラ 検証 テスト 解析ツール プロトタイピングツール エンジニアリングサービス

More information

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 1

個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実  1 個人依存開発から組織的開発への移行事例 ~ 要求モデル定義と開発プロセスの形式化 による高生産性 / 高信頼性化 ~ 三菱電機メカトロニクスソフトウエア ( 株 ) 和歌山支所岩橋正実 iwahashi@est.hi-ho.ne.jp Iwahashi.Masami@wak.msw.co.jp 1 改善効果 品質 : フロントローディングが進み流出不具合 0 継続生産性 : 平均 130% 改善 工数割合分析

More information

ict2-.key

ict2-.key IP TCP TCP/IP 1) TCP 2) TCPIP 3) IPLAN 4) IP パケット TCP パケット Ethernet パケット 発信元 送信先 ヘッダ 列番号 ポート番号 TCP パケットのデータ IP パケットのデータ 本当に送りたいデータ データ IP ヘッダデータ部ヘッダデータ部ヘッダデータ部 Ethernet パケット Ethernet パケット Ethernet パケット

More information

MU120138A 10ギガビットイーサネットモジュール 製品紹介

MU120138A 10ギガビットイーサネットモジュール 製品紹介 Product Introduction MU120138A 10 ギガビットイーサネットモジュール MD1230B データクオリティアナライザ MP1590B ネットワークパフォーマンステスタ MU120138A 次世代 10GbE 測定モジュール 製品紹介 アンリツ株式会社 Slide 1 Express Flow 10GbE module MU120138A - 10 Gigabit Ethernet

More information

SCREENOS NAT ScreenOS J-Series(JUNOS9.5 ) NAT ScreenOS J-Series(JUNOS9.5 ) NAT : Destination NAT Zone NAT Pool DIP IF NAT Pool Egress IF Loopback Grou

SCREENOS NAT ScreenOS J-Series(JUNOS9.5 ) NAT ScreenOS J-Series(JUNOS9.5 ) NAT : Destination NAT Zone NAT Pool DIP IF NAT Pool Egress IF Loopback Grou NAT NETWORK ADDRESS TRANSLATION SCREENOS NAT ScreenOS J-Series(JUNOS9.5 ) NAT ScreenOS J-Series(JUNOS9.5 ) NAT : Destination NAT Zone NAT Pool DIP IF NAT Pool Egress IF Loopback Group (ScreenOS ) 2 Copyright

More information

momentum Probe Type-R/C version 4.21 build-a04a Release Notes Release Version: momentum Probe Type-R/C version 4.21 build-a04a Release Date: 2018/06/2

momentum Probe Type-R/C version 4.21 build-a04a Release Notes Release Version: momentum Probe Type-R/C version 4.21 build-a04a Release Date: 2018/06/2 Release Version: momentum Probe Type-R/C version 4.21 build-a04a Release Date: 1 追加された機能 Feature #120122577: 新ライセンスモジュールの組み込み 概要 ライセンスに関連する機構を刷新 Feature #120122587: mm-rsync(mm-rsync-c mm-rsync-s) 概要 製品間でのデータ転送機構を実装

More information

untitled

untitled ICMP 0466-XX-1395 t04000aa@sfc.keio.ac.jp 133.113.215.10 (ipv4) 2001:200:0:8803::53 (ipv6) (FQDN: Fully Qualified Domain Name) ( www.keio.ac.jp 131.113.215.10 /MAC ID 00:11:24:79:8e:82 Port Port = = Port

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Microsoft PowerPoint - ykashimu_dslite_JANOG26_rev

Microsoft PowerPoint - ykashimu_dslite_JANOG26_rev IPv6 時代の を考える ~DS-Lite 8 th July 2010 鹿志村康生 (Yasuo Kashimura) yasuo.kashimura@alcatel-lucent.com DS-Lite ( Dual stack Lite) draft-ietf-softwire-dual-stack-lite Dual-Stack Lite Broadband Deployments Following

More information

プログラマブル・データプレーン時代に向けた ネットワーク・オペレーション・スタック

プログラマブル・データプレーン時代に向けた ネットワーク・オペレーション・スタック プログラマブルデータプレーン (P4) & ネットワーク オペレーションスタック Okinawa Open Days 2017 Forum 海老澤健太郎 @ Ponto Networks, Inc. ebiken@pontonetworks.com プログラマブルデータプレーン (P4)& ネットワーク オペレーションスタック OkinawaOpenDays フォーラム 2017/12/07 1 Ponto

More information

Ponto Networks, Inc. Delaware C corp, established Dec 2015 PontOS Dataplane agnostic Network Operation System + Stack providing performance, programma

Ponto Networks, Inc. Delaware C corp, established Dec 2015 PontOS Dataplane agnostic Network Operation System + Stack providing performance, programma プログラマブル データプレーンの技術動向とネットワーク オペレーション スタックの実装例 Okinawa Open Days 2017 海老澤健太郎 @ Ponto Networks, Inc. プログラマブル データプレーンの技術動向とネットワーク オペレーション スタックの実装例 Okinawa Open Days 2017 1 Ponto Networks, Inc. Delaware C corp,

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

ネーミング(1)

ネーミング(1) ネーミング (1) 分散システム 2012 年 1 月 17 日 建部修見 ネーミング 資源の共有 実体の識別 位置の参照 名前の解決 (Name Resolution)= 参照している実体に解決 ネーミングシステム リソルバ (Resolver) 分散システムで利用される名前 ヒューマンフレンドリな名前 パス名 URL 位置に依存しない名前 ( フラットな名前 ) ハッシュ値 移動体の参照 属性で指定される名前

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

untitled

untitled Network Product Guide Network Monitoring System Network Product Guide Time stamp Write to disk Filter Convert Summarise Network Product Guide Network Monitoring System TDS2 TDS24 Network Analysis Report

More information

アマチュア無線のデジタル通信

アマチュア無線のデジタル通信 アマチュア無線のための インターネット通信の基礎 2018 年 4 月 8 日 (V1.0) JR1OFP 1 1. インターネットとは 世界中の ISP のネットワークが相互接続された巨大なネットワークのこと AT&T AOL ティアワンプロバイダー OCN KDDI Yahoo (ISP: Internet Service Provider AT&T, AOL, OCN, KDDI など ) 家庭や企業は何処かの

More information

R76/Gaia ブリッジ構成設定ガイド

R76/Gaia ブリッジ構成設定ガイド R76/GAiA ブリッジ構成設定ガイド ( スタンドアロン構成 ) Last updated Aug 16, 2013 Ver 1.0 はじめに 本章の手順は 以下の項目が満たされている事を前提条件としています 事前に GAiA R76 のインストールが完了していること 管理コンソールに SmartConsole がインストールされていること 対象となるアプライアンス機器は Model 2200

More information

untitled

untitled 25: Part ( ) Chief Technology Officer mshindo@fivefront.com SNMP MRTG HP/OV RMON INTERNET WEEK 2006/12/08 Copyright 2006 Fivefront Corporation, All Rights Reserved. 2 1 SNMP IfInUcastPkts, IfOutUcastPkts

More information

total.dvi

total.dvi VII W I D E P R O J E C T MPLS-IX MPLS-IX MPLS 1 MPLS AYAME IX IX LDP/RSVP-TE/CR- [121] 1999 Sub- LDP IP MPLS IX LSP LSP MPLS ebgp[165] LSP ( 2002 1.1 1.2) MPLS-IX MPLS IPv6 6PE IX () MPLS-IX MPLS IX

More information

このマニュアルについて

このマニュアルについて 改訂 : May 30, 2007, ここでは の対象読者 構成 表記法 入手方法 テクニカルサポートの利用方法について説明します このマニュアルでは Service Control ソリューション Service Control Engine(SCE) プラットフォーム および関連コンポーネントの概念に関する基本的な知識があることを前提としています ここでは 以下のトピックに関する情報を提供します

More information

索引

索引 INDEX BC D1C D2C FC IC IPC MWC P2C P3C QC SC TC VC WC XC 数字 Cisco IOS Bridging and IBM Networking Configuration Guide Cisco IOS Dial Technologies Configuration Guide: Dial Access Cisco IOS Dial Technologies

More information

XNA Framework

XNA Framework XNA Framework 2.0 M@STER SESSION 01 XNA Framework の技術的背景 システム基盤は.NET Framework マネージ環境で実行 クロスプラットフォーム Windows, Xbox360, Zune DirectX, MDX から独立している 開発 実行環境の構造 XNA Game Studio (Visual Studio 2005) XNA Framework

More information

タイム センシティブ ネットワーキングについて

タイム センシティブ ネットワーキングについて タイムセンシティブネットワーキングにつ いて タイム センシティブ ネットワーク TSN とは何でしょうか TSN を平たく言えば 標準的な イーサネットにおいて確定的なメッセージングを実現するため IEEE 802.1Q によって定義され た標準規格の技術です TSN 技術は一元的に管理され 時間のスケジューリングを使用して 確 定性が必要なリアルタイムのアプリケーションに対して配信および最小限のジッターを保証しま

More information

PAN-OS: パケット処理 PAN-OS のパケットフローシーケンス パロアルトネットワークス合同会社

PAN-OS: パケット処理 PAN-OS のパケットフローシーケンス パロアルトネットワークス合同会社 PAN-OS: パケット処理 PAN-OS のパケットフローシーケンス パロアルトネットワークス合同会社 www.paloaltonetworks.jp 目次 概要... 3 入力ステージ (Ingress stage)... 3 パケット構文解析 (Packet Parsing)... 5 トンネルのカプセル解除 (Tunnel Decapsulation)... 6 IP フラグメント解除 (IP

More information

IP 2.2 (IP ) IP 2.3 DNS IP IP DNS DNS 3 (PC) PC PC PC Linux(ubuntu) PC TA 2

IP 2.2 (IP ) IP 2.3 DNS IP IP DNS DNS 3 (PC) PC PC PC Linux(ubuntu) PC TA 2 IP 2010 10 1 1 IP (IP ) 2 IP IP 2.1 IP (IP ) 1 IP 2.2 (IP ) IP 2.3 DNS IP IP DNS DNS 3 (PC) PC PC PC Linux(ubuntu) PC TA 2 4 1,2 4.1 (Protocol) IP:Internet Protocol) 4.2 internet The Internet (internet)

More information

Symantec AntiVirus の設定

Symantec AntiVirus の設定 CHAPTER 29 Symantec AntiVirus エージェントを MARS でレポートデバイスとしてイネーブルにするためには Symantec System Center コンソールをレポートデバイスとして指定する必要があります Symantec System Center コンソールはモニタ対象の AV エージェントからアラートを受信し このアラートを SNMP 通知として MARS に転送します

More information

mpls-japan-2017-shtsuchi

mpls-japan-2017-shtsuchi データプレーンのオープン化 Shishio Tsuchiya shtsuchi@arista.com 1 Agenda これまでのデータプレーン NVO3 Encapsulation Consideration これからのデータプレーン 2 Traditional data center vs Cloud data center Source: Credit Suisse IT Survey, Jan

More information

BRANCH SRX <2010Q3 > 2 Copyright 2010 Juniper Networks, Inc.

BRANCH SRX <2010Q3 > 2 Copyright 2010 Juniper Networks, Inc. SRX OVERVIEW BRANCH SRX 2 Copyright 2010 Juniper Networks, Inc. www.juniper.net SRX100 On-board Ethernet Mini-PIM slot 8 x FE No USB ports (flash) 1 Power over Ethernet No PSTN voice ports No

More information

スライド 1

スライド 1 DNS とネットワーク設定 URL と URI URL(Uniform Resource Locators) インターネット上の情報にアクセスする方法 プロトコルやホスト名からなる http://www.nagoya-u.ac.jp/ ftp://ftp.nuie.nagoya-u.ac.jp/ URI(Uniform Resource Identifier) インターネット上の情報検索の概念や基本仕様

More information

オペレーティング システムでの traceroute コマンドの使用

オペレーティング システムでの traceroute コマンドの使用 オペレーティングシステムでの traceroute コマンドの使用 目次 概要前提条件要件使用するコンポーネント表記法一般的な操作 Cisco IOS と Linux Microsoft Windows ICMP 到達不能レートの制限例 Cisco IOS ソフトウェアが稼働する Cisco ルータ Linux がインストールされた PC MS Windows がインストールされた PC 補足事項要約関連情報

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

組込みシステムにおける UMLモデルカタログの実践研究

組込みシステムにおける UMLモデルカタログの実践研究 Modeling Forum 2015 組込みシステムの設計実装への モデルカタログの活用 仙台高等専門学校 情報システム工学科 力武克彰, 新村祐太 ( 豊橋技科大 ), 菊池雄太郎 ( 仙台高専 ) 概要 組込み分野のための UML モデルカタログ (*) のモデルを実装してみました (* 以下 モデルカタログと呼びます ) 2 概要 モデルカタログ : 目標制御モデル モデルカタログより引用

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 次世代 IoT に向けた AI の組み込み実装への 取り組み AI の推論機能を FPGA に実装するための技術とソリューション提案 Embedded Product Business Development Department Agenda 1. エッジAIの現状 2. 組み込みAIのニーズ 3.FPGAとエッジAI 4. 組み込み向けエッジAI 実装の特性 (GPUとFPGA) 5. エッジAI

More information

はじめに Dell PowerVault DL2000 Powered by Symantec Backup Exec は シンプルで管理しやすいデータ保護機能を提供する 柔軟かつ経済的なバックアップソリューションです 本ホワイトペーパーでは PowerVault DL2000 の バリューシリーズ

はじめに Dell PowerVault DL2000 Powered by Symantec Backup Exec は シンプルで管理しやすいデータ保護機能を提供する 柔軟かつ経済的なバックアップソリューションです 本ホワイトペーパーでは PowerVault DL2000 の バリューシリーズ Dell PowerVault DL2000 のバックアップ性能 デルテクニカルホワイトペーパー Dell PowerVault DL2000 Powered By Symantec 作成 : Muffadal Quettawala Scott Reichmanis はじめに Dell PowerVault DL2000 Powered by Symantec Backup Exec は シンプルで管理しやすいデータ保護機能を提供する

More information

PNopenseminar_2011_開発stack

PNopenseminar_2011_開発stack PROFINET Open Seminar 開発セミナー Software Stack FPGA IP core PROFINET 対応製品の開発 2 ユーザ要求要求は多種多様 複雑な規格の仕様を一から勉強するのはちょっと.. できるだけ短期間で 柔軟なスケジュールで進めたい既存のハードウェアを変更することなく PN を対応させたい将来的な仕様拡張に対してシームレスに統合したい同じハードウェアで複数の

More information

新技術説明会 様式例

新技術説明会 様式例 1 ロボットへの FPGA 導入を 容易化する コンポーネント技術 宇都宮大学大学院工学研究科情報システム科学専攻助教大川猛 2 従来技術とその問題点 FPGA(Field Programmable Gate Array) は 任意のディジタル論理回路をプログラム可能な LSI ソフトウェアでは時間がかかる画像認識処理等を ハードウェア化して 高速化 低消費電力化可能 問題点 FPGA 上の回路設計が難しい

More information

完成版_セミナー発表資料110928

完成版_セミナー発表資料110928 PROFINET オープンセミナー ASIC を使用した開発 開発セミナー 目次 2 PROFINET の実装 ASIC という選択 PROFINET 機器開発における課題 ASIC による課題の解決 ASIC の特徴ターゲットアプリケーション適用例ラインアップ ASIC 製品紹介 1 PROFINET の実装 3 PROFINET の実装手法 Ethernet ポート付きマイコン FPGA PROFINET

More information

untitled

untitled Section 1 5 6 MRTG 7 Prefix RMON NetFlow NetFlow NetFlow Data Collector DB Subnet B B Router = Exporter Subnet A AS IP Prefix 1 8 Subnet B Router = Exporter AS AS Prefix 2 NetFlow Version 5 AS AS Peer

More information

ACI のファースト LACP タイマーを設定して下さい

ACI のファースト LACP タイマーを設定して下さい 目次 概要前提条件要件使用するコンポーネント背景説明設定初期セットアップコンフィギュレーションのステップ確認トラブルシューティング Cisco サポートコミュニティ - 特集対話 概要 この資料に Cisco アプリケーション中枢的なインフラストラクチャ (ACI) の port-channel のためのファースト Link Aggregation Control Protocol (LACP) タイマーを設定する方法を記述されています

More information

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ)

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ) CHAPTER 2 アプリケーションインスペクションの特別なアクション ( インスペクションポリシーマップ ) モジュラポリシーフレームワークでは 多くのアプリケーションインスペクションで実行される特別なアクションを設定できます サービスポリシーでインスペクションエンジンをイネーブルにする場合は インスペクションポリシーマップで定義されるアクションを必要に応じてイネーブルにすることもできます インスペクションポリシーマップが

More information

IPsec徹底入門

IPsec徹底入門 本資料について 本資料は下記書籍を基にして作成されたものです 文章の内容の正確さは保障できないため 正確な知識を求める方は原文を参照してください 書籍名 :IPsec 徹底入門著者 : 小早川知明発行日 :2002 年 8 月 6 日発売元 : 翔泳社 1 IPsec 徹底入門 名城大学理工学部渡邊研究室村橋孝謙 2 目次 第 1 章 IPsec アーキテクチャ 第 2 章 IPsec Security

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

R80.10_FireWall_Config_Guide_Rev1

R80.10_FireWall_Config_Guide_Rev1 R80.10 ファイアウォール設定ガイド 1 はじめに 本ガイドでは基本的な FireWall ポリシーを作成することを目的とします 基本的な Security Management Security Gateway はすでにセットアップ済みであることを想定しています 分散構成セットアップ ガイド スタンドアロン構成セットアップ ガイド等を参照してください [Protected] Distribution

More information

PowerPoint Presentation

PowerPoint Presentation INDUSTRIAL AUTOMATION フィールドデバイスに PROFINET IO を実装する 3 つの方法 要求事項 ソリューション1 スタックポーティング ソリューション2 IPコア実装 ソリューション3 モジュール実装 Page 2 要求と制限事項 お客様側から お客様はプロトコルのエキスパートになることを望んでいない お客様は短い時間で 柔軟なスケジュールで解決したい お客様は将来の機能拡張に対してもシームレスに統合できることを望んでいる

More information

アライドテレシス・コアスイッチ AT-x900 シリーズ で実現するエンタープライズ・VRRPネットワーク

アライドテレシス・コアスイッチ AT-x900 シリーズ で実現するエンタープライズ・VRRPネットワーク 主な目的 信頼性 可用性の高いネットワークを構築したい 標準技術を使って冗長化したい 既存機器を流用しつつ コアスイッチを入れ替えたい 概要 一般的なスター型ネットワークを標準技術を使用して構築する構成例です スター型のネットワークは オフィスビルの既存フロア間配線を流用することで 機器のリプレースだけでネットワークをアップグレードできるメリットがあり 現在主流のネットワークトポロジの一つです この構成例では

More information

10-vm1.ppt

10-vm1.ppt オペレーティングシステム ~ 仮想記憶 (1) ~ 山田浩史 hiroshiy @ cc.tuat.ac.jp 2015/06/19 OS の目的 裸のコンピュータを抽象化 (abstraction) し より使いやすく安全なコンピュータとして見せること OS はハードウェアを制御し アプリケーションの効率的な動作や容易な開発を支援する OS がないと メモリをアプリケーション自身が管理しなければならない

More information