VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ

Size: px
Start display at page:

Download "VHDL/VerilogによるコードベースからSimulinkによるモデルベースへ"

Transcription

1 VHDL/Verilog によるコードベースから Simulink によるモデルベースへ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 2012 The MathWorks, Inc. 1

2 出展 : 日本経済新聞 2012/7/3 記事 2

3 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い HDL と FPGA のテスト検証 3

4 MathWorks が提供する HDL 関連製品 HDL Coder MATLAB, Simulink, Stateflow から HDL 生成 Filter Design HDL Coder フィルタの HDL 生成 アルゴリズム開発 生成 Processor システム設計 実装 検証 VHDL, C, C++ SystemC Verilog FPGA ASIC Virtual Platform HDL Verifier HDL と FPGA の検証 SystemC 生成 4

5 日本国内 HDL Coder 新規ライセンス導入数推移産業別とアプリケーション別 2012 年は 10/17 までのデータ 5

6 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い HDL と FPGA のテスト検証 6

7 一般的な RTL 設計 : 同期回路設計とは 一般的に FPGA/ASIC 設計には同期回路設計が用いられる フリップフロップ (FF) の間に挟んだ論理回路で構成 論理回路の転送時間がCLK 周期を超えないよう設計 FF 間の転送時間だけ考えれば良いので設計が容易 FF FF FF FF clk 7

8 同期回路設計を考慮した Simulink モデル FF に相当する Delay ブロックと処理ブロックで構成 動作合成ではない Delay ブロックのパラメータによる自動挿入機能 Distributed Pipelining 元モデルはデバイス依存しない ( 再利用性高い ) 設計資産 低 ~ 高抽象度まで用意されたブロック 低抽象度 :+,, AND など HDL 記述同等 高抽象度 :Sin/Cos, フィルタ, 誤り訂正 (RS) FFT ベクタ信号等 オレンジ色は FF に相当する Delay ブロック 8

9 HDL Coder を使った ASIC/FPGA 実装フロー MATLAB/Simulink Fixed-Point Toolbox Simulink Fixed Point HDL Coder Simulinkリファレンスモデル設計実装用モデル作成固定小数点化 HDLプロパティ設定 HDL 生成チェッカー HDL 生成 HDL Verifier (ModelSim, Incisive) 論理合成ツール (Design Compiler, RTL Compiler SynplifyPro, QuartusⅡ, ISE など ) HDL シミュレーション 検証 論理合成 配置配線 ASIC FPGA 9

10 HDL Coder モデルの作成対応するエントリ Simulink データパス系システム全体 ブロック生成 Stateflow ステートマシンコントロールロジック MATLAB データパスコントロールロジック MATLAB HDL 生成 HDL 生成 10

11 固定小数点データ型設定設定内容は生成 HDL に反映 Product( 乗算 ) ブロックの設定画面 丸め設定オーバーフロー処理 Inherit( 継承 ) double, single, int8, 16, 32 fixdt( 任意ビット幅 ) 11

12 HDL ブロックプロパティ / コードプロパティ モデルから多様な HDL 生成するためのパラメータ Area/Speed に影響 多入力時の構造 入出力パイプラインレベル BlackBox 化 パイプライン挿入 階層フラット化 リソースシェア フィルタの回路アークテクチャ (Distributed Arithmetic, Parallel, Serial) 12

13 コード生成レポートで得られる情報 リソース数 ( 乗算 加算 レジスタ RAM など ) HDL プロパティによる最適化結果 モデル HDL 双方向対応表 VHDL/Verilog (HTML 形式で表示 ) モデル上の名前を継承 ( ポート 信号線 process) 双方向リンク対応箇所をハイライト表示 VHDL/Verilog (HTML 形式で表示 ) モデルの表示 13

14 MATLAB コードから HDL 生成 アルゴリズムとテストベンチを作成 GUI 起動 自動固定小数点化 ( テストベンチ基準 ) 最適化機能 RAM Mapping Distributed Pipelining Resource Sharing Loop Unrolling HDL プロパティ設定 ( 最適化 スタイル ) HDL/MATLAB Function ブロック生成 HDL シミュレーション 検証 14

15 HDL 協調シミュレーションと FPGA-in-the-Loop HDL Verifier 提供機能 MATLAB と Simulink を HDL や FPGA のテスト環境として利用 生成 / 手書き HDL の検証 豊富な信号生成 可視化解析機能を利用 データ通信 TCP/IP, Shared Mem 信号パターン制御入力期待値可視化 解析プラントモデルアナログ回路 Simulink TCP/IP HDL Simulator MATLAB FPGA Board 15

16 HDL ワークフローアドバイザ 提供するワークフロー FPGA-in-the-Loop Turnkey Generic FPGA 包含する機能 FPGA プロジェクト生成 HDL チェック 生成 検証 論理合成 配置配線 (FPGA ベンダツール連携 ) バックアノテーション 16

17 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い HDL と FPGA のテスト検証 17

18 よくある質問 HDL Coderで生成した回路のパフォーマンスは? (Area/Speed) 使用するブロック モデルの書き方 / 設定に 依存します 18

19 同じ処理でも表現の抽象度を選択可能例 :FIR/IIR フィルタの実装に使用できるブロック Simulink 基本ブロックを使用 FDATool, FilterBuilder からモデル生成可能 Delay(FF) Gain( 乗算 ) Add( 加算 ) 要素ブロックで構成 既存の構造にない柔軟なカスタマイズが可能 修正が面倒 ( 個別のブロックを修正 ) だがライブラリ化 ( マスクブロック化 ) することも可能 19

20 同じ処理でも表現の抽象度を選択可能例 :FIR/IIR フィルタの実装に使用できるブロック Tapped Delay とベクタ演算で Gain/Product を使用 シフトレジスタ ( ベクタ出力 ) 乗算 ( ベクタ同士の要素ごとの演算 ) 修正が比較的容易 可読性高い 構造変更出来ない ベクタデータの総和 20

21 同じ処理でも表現の抽象度を選択可能例 :FIR/IIR フィルタの実装に使用できるブロック DSP System Toolbox の Digital/FIR/Biquad Filter を使用 FDATool, FilterBuilder からモデル生成可能 抽象度が高く パラメータ 構造の修正容易 Distributed Arithmetic やシリアル化など豊富な実現構造を選択可 21

22 回路面積 : 固定小数点設定による影響 使用ブロック :Discrete FIR Filter 入力ビット幅 :[8, 12, 16, 18, 22, 26] に変更 Logic Element DSP Fmax 自動生成 ~MATLAB でグラフ化 入出力ビット幅の増加に伴い LE 数増加 Fmax 低下 FIR symmetric 24 tap Device: Cyclone IV EP4CE115F29C7 22

23 設定の最適化を行う固定小数点ツール GUI Simulink Fixed Point 提供機能 一時的にデータ型変更 ( 浮動小数点 ) に設定理想状態を確認 一覧を表示 : ブロック 固定小数点設定 シミュレーション Min/Max オーバーフロー警告 オーバーフロー警告 最適化の方法 安全マージン 23

24 固定小数点ツール実行前後の回路面積比較 ツール実行の効果オーバーフローの解消と冗長ビットが削減されることで回路面積 (LE 数 ) が に縮小 (6.3% 削減 ) 左 : 実行前 右 : 実行後 24

25 ブロックによる生成コードの違い : Sin/Cos Simulink/ Math Operations/ Trigonometric Function CORDIC アルゴリズム使用ビット幅だけでなく 繰り返し回数 設定で精度が変化 Simulink/ Lookup Tables/ 1-D Lookup Table 1 周期分フルに LUT を使用入力 出力の Table を自分で定義 Simulink/ Lookup Tables/ Sine DSP System Toolbox/ Signal Operations/ NCO(Numeric Controlled Oscillator) 1/4 波長の Table データの符号と向きを切り替えて使用 マスクサブシステム内の設定を変更して使用する必要あるので注意 本来は信号生成用に使用する 設定により SIN/COS 計算が可能 1/4 波長 LUT を使用現在さらにパフォーマンス UP に向けて 開発継続中 25

26 各 Sin 計算ブロックの回路パフォーマンス 8bit 入出力 12bit 入出力 Logic Element DSP Fmax 左から Trigonometric, 1-D LUT, Sine, NCO CORDIC(Trigonometric) は速度 面積共にバランス良 1-D Lookup Table はビット幅によって大きく異なる Device: Cyclone IV EP4CE115F29C7 26

27 回路面積 ( 演算器の使用個数 ) の低減 HDL ブロックプロパティ :Sharing 複数の乗算器やサブシステムの処理を 時分割で共有して計算 回路面積の低減 パラレル化 シリアル化 27

28 パイプライン自動挿入によるクロック速度の向上 HDL ブロックプロパティ :Distributed Pipelining 設定画面 Pipeline が自動挿入 複数パス間のタイミング調整用レジスタ Pipeline レジスタ 28

29 リソースシェアリング ( 左 ) とパイプライン挿入 ( 右 ) の結果 Logic Element DSP Fmax 左から SharingFactor = [0, 3, 6, 12] 左から OutputPipeline = [0, 4, 8, 12, 16] Sharing:DSP 使用個数を低減 OutputPipeline の効果はあるレベルで飽和 29

30 HDL 生成 + 論理合成 + パフォーマンス評価の自動化 プログラミングで自動化とカスタマイズを行い 様々なパターンでコード生成を行った For ループ for ループによるパラメータ変更 論理合成スクリプトの設定 ( デバイス ) HDL 生成 >>makehdl システムコマンドによる合成ツール実行 合成レポートファイルからデータ収集 30

31 HDL 生成 + 論理合成 + パフォーマンス評価の自動化 プログラミングで自動化とカスタマイズを行い 様々なパターンでコード生成を行った For ループ for ループによるパラメータ変更 論理合成スクリプトの設定 ( デバイス ) HDL 生成 >>makehdl システムコマンドによる合成ツール実行 合成レポートファイルからデータ収集 31

32 HDL 生成 + 論理合成 + パフォーマンス評価の自動化 プログラミングで自動化とカスタマイズを行い 様々なパターンでコード生成を行った For ループ for ループによるパラメータ変更 論理合成スクリプトの設定 ( デバイス ) HDL 生成 >>makehdl システムコマンドによる合成ツールの実行 合成レポートファイルからデータ収集 32

33 HDL 生成 + 論理合成 + パフォーマンス評価の自動化 プログラミングで自動化とカスタマイズを行い 様々なパターンでコード生成を行った For ループ for ループによるパラメータ変更 論理合成スクリプトの設定 ( デバイス ) HDL 生成 >>makehdl システムコマンドによる合成ツール実行 合成レポートファイルからデータ収集 33

34 HDL 生成 + 論理合成自動化に役立つコマンド コマンド説明例 makehdl get_param set_param hdlget_param hdlset_param fixdt regexp HDL 生成 Simulink ブロックプロパティの取得 Simulink ブロックプロパティの設定 HDL ブロックプロパティの取得 HDL ブロックプロパティの設定 固定小数点データ型設定 正規表現文字列の検索 makehdl(modelname, 'TargetDirectory', dir, 'EDAScriptGeneration','on', 'HDLSynthTool','Quartus', 'HDLSynthInit',SynthScript) get_param(gcb, Gain') set_param(gcb, Gain, 15 ) hdlget_param(gcb, SharingFactor ) hdlget_param(gcb, all ) % 全て取得 hdlset_param(gcb, SharingFactor,4) dtinput = fixdt(1, 16, 14); % 符号付き 16bit 小数部 14bit regexp(textdata,';+ s+[ d, d]+ s', 'match','warnings') % s スペース, d 数値の文字列検索 dos/system システムコマンド実行 dos( quartus_sh t tclfilename ) 34

35 アジェンダ HDL プロダクツ概要と採用実績 HDL 生成と検証のための基本機能 より高度な使い方設定による回路パフォーマンスの違い HDL と FPGA のテスト検証 35

36 可視化 解析環境としての MATLAB を利用したデバッグ 数値演算 解析 可視化のプログラミング関数を豊富に提供 ユーザがプログラミングする手間と時間を削減 制御系の各種応答 周波数スペクトル 画像 3D 表示 フィルタ設計 解析 36

37 デザインのテストベンチとしての Simulink Simulink 基本機能 連続 離散のソルバ ( アナログ デジタル ) 各ドメインを数式化してモデリング ( アナログ デジタル回路 メカ 油圧など ) 時間軸シミュレーション オプション製品 信号処理 通信 画像処理 制御などアプリケーションに特化したブロック 物理モデリングに対応したブロック : 電気回路 RF 特性 (S-Parameter) モーター バッテリー メカ 油圧など 37

38 HDL シミュレータとの連携テスト HDL Verifier 機能 手書き HDL の場合 HDL シミュレータとのインターフェースを生成 Simulink ブロックまたは MATLAB コード >> cosimwizard HDL Coder で自動生成 協調シミュレーションモデルを自動生成 GUI またはコマンド >> makehdltb(gcb) 38

39 FPGA ボードとの連携テスト (HDL Verifier 機能 ) FPGA-in-the-Loop Simulation(FIL) 手書き HDL の場合 FPGA ボードとのインターフェースブロックを生成 Simulink ブロックまたは MATLAB コード >> filwizard HDL Coder で自動生成 HDL ワークフローアドバイザで FPGA-in-the-Loop を選択 FIL モデルが自動生成 汎用開発ボードとカスタムボードに対応 Xilinx:ML401/2/3, ML505/6/7, ML605, SP601/5, XUP Atlys Spartan-6, XUPV5-LX110T Altera:ArriaII GX, Cyclone III, IV GX, DE2-115, NIOS II EEK カスタムボード登録ウィザード >>fpgaboardmanager 39

40 ユーザ導入効果とシミュレーション高速化 FPGA-in-the-Loop Simulation(FIL) ユーザ効果 Simulink 従来検証方法 ( 論理合成後ゲートレベル ) FIL 時間 60 分 200 時間以上 4 分 30 秒 13 倍 2000 倍 テストボード :ML605 適用回路 : 無線デジタル信号処理回路論理規模 :FF:25,000, LUT:20,000, 36KBRAM:150, DSP48E1:130 FIL 高速化のポイント 期待値との照合は To File ブロックを使用 (Simulink モデルと照合しない ) フレームベース信号の利用 (DSP System Toolbox 機能 ) アクセラレータの使用 40

41 まとめ HDL 生成によりデザインの設計期間が短縮 使用ブロックや HDL プロパティ設定により様々なパターンで HDL 生成可能 HDL Coder の回路パフォーマンスはモデル次第 MATLAB プログラムにより HDL 生成や論理合成の作業を自動化 HDL や FPGA の検証環境として MATLAB/Simulink の可視化 解析機能を利用 41

42 お問合せ先 お問い合わせ先 service@mathworks.co.jp TEL : この資料に関する技術的なご質問 デモプログラムのお問い合わせ先 MathWorks アプリケーションエンジニアリング部松本充史 atsushi.matsumoto@mathworks.co.jp 42

アルゴリズム開発からHDL実装へのパス

アルゴリズム開発からHDL実装へのパス 2009-2012 The MathWorks, Inc. HDL Coder HDL Verifier R2006b-R2013a 新機能紹介 R2012a 以降の HDL プロダクト名称変更 Simulink HDL Coder MATLAB からの HDL 生成機能 HDL Coder MATLAB Coder が必須 EDA Simulator Link System Object FIL

More information

画像処理回路のASIC実装へ向けたHDL Coder適用事例

画像処理回路のASIC実装へ向けたHDL Coder適用事例 画像処理回路の ASIC 実装へ向けた HDL Coder 適用事例 ~ 手書き HDL コード vs コード生成ツール ~ ルネサスシステムデザイン株式会社 部署名 第一要素技術事業部ロジックIP 開発部 氏名 神谷衛 2013/10/29 2013 Renesas System Design Co., Ltd. All rights reserved. 1 / 30 Agenda 会社紹介 画像コア開発の課題

More information

SimscapeプラントモデルのFPGAアクセラレーション

SimscapeプラントモデルのFPGAアクセラレーション Simscape TM プラントモデルの FPGA アクセラレーション MathWorks Japan アプリケーションエンジニアリング部 松本充史 2018 The MathWorks, Inc. 1 アジェンダ ユーザ事例 HILS とは? Simscape の電気系ライブラリ Simscape モデルを FPGA 実装する 2 つのアプローチ Simscape HDL Workflow Advisor

More information

Presentation Title

Presentation Title モデルベースによるハードウェア開発のメリットと適用例 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア松本充史 1 アジェンダ FPGA/ASIC 設計と関連 MATLAB プロダクト ユーザ事例 : 設備監視装置用 FPGA モータ制御用 IC 画像処理 IC リアルタイム画像処理テストベンチ環境 Simulink HDL Coder TM の最新機能紹介

More information

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx

Microsoft PowerPoint - 【最終提出版】 MATLAB_EXPO2014講演資料_ルネサス菅原.pptx MATLAB/Simulink を使用したモータ制御アプリのモデルベース開発事例 ルネサスエレクトロニクス株式会社 第二ソリューション事業本部産業第一事業部家電ソリューション部 Rev. 1.00 2014 Renesas Electronics Corporation. All rights reserved. IAAS-AA-14-0202-1 目次 1. はじめに 1.1 モデルベース開発とは?

More information

Presentation Title

Presentation Title コード生成製品の普及と最新の技術動向 MathWorks Japan パイロットエンジニアリング部 東達也 2014 The MathWorks, Inc. 1 MBD 概要 MATLABおよびSimulinkを使用したモデルベース デザイン ( モデルベース開発 ) 紹介ビデオ 2 MBD による制御開発フローとコード生成製品の活用 制御設計の最適化で性能改善 設計図ですぐに挙動確認 MILS:

More information

AMS Expoコンテンツ

AMS Expoコンテンツ MATLAB /Simulink を活用した電源システム設計フロー紹介 MathWorks Japan アプリケーションエンジニアリング部初井良治 2013 The MathWorks, Inc. 1 内容 MATLAB /Simulink アナログ ミックスドシグナルシステム設計フロー 適用事例 ( アナログ ミックスドシグナル ) システム設計フロー MATLAB /Simulink の利点 MATLAB

More information

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析

製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析 ホワイトペーパー Excel と MATLAB の連携がデータ解析の課題を解決 製品開発の現場では 各種のセンサーや測定環境を利用したデータ解析が行われ シミュレーションや動作検証等に役立てられています しかし 日々収集されるデータ量は増加し 解析も複雑化しており データ解析の負荷は徐々に重くなっています 例えば自動車の車両計測データを解析する場合 取得したデータをそのまま解析に使用することはできず

More information

SimulinkによるReal-Time Test環境の構築

SimulinkによるReal-Time Test環境の構築 Simulink モデルを使ったリアルタイムテスト環境の構築 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア高島博 2012 The MathWorks, Inc. 1 はじめに Simulink はバーチャルなテスト環境 2 はじめに Simulink はバーチャルなテスト環境 3 はじめに Simulink はバーチャルなテスト環境 シミュレーション開始ボタンをクリック

More information

Microsoft PowerPoint - 01_Vengineer.ppt

Microsoft PowerPoint - 01_Vengineer.ppt Software Driven Verification テストプログラムは C 言語で! SystemVerilog DPI-C を使えば こんなに便利に! 2011 年 9 月 30 日 コントローラ開発本部コントローラプラットフォーム第五開発部 宮下晴信 この資料で使用するシステム名 製品名等は一般にメーカーや 団体の登録商標などになっているものもあります なお この資料の中では トレードマーク

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB EXPO 2018 Japan 無線モデム FPGA/SoC 開発における HDL Coder TM の活用事例 2018/10/30 NECネットワーク センサ株式会社技術開発本部通信ネットワーク技術部主任 / プロダクトスペシャリスト住田憲昭 1 NEC Network and Sensor Systems, LTD. 2018 目次 1. 会社紹介 / 自己紹介 2. 防衛事業の技術課題とHDL

More information

Presentation Title

Presentation Title Simulink R / Stateflow R 入門 MathWorks Japan アプリケーションエンジニアリング部 小林昇洋 2016 The MathWorks, Inc. 1 本セッションで対象としている方々 Q : Simulink / Stateflow は使ったことがない どんなツールなのか? A : お使いいただいているシーン 理由などご紹介します 2 Simulink とは?

More information

Quartus II クイック・スタート・ガイド

Quartus II クイック・スタート・ガイド ver.2.0 2010 年 1 月 1. はじめに 弊社では Quartus II をはじめて使用する方を対象に Quartus II はじめてガイド と題した簡易操作マニュアルを提供しています この資料では Quartus II の基本的な作業フローをご案内すると共に 各オペレーションではどの資料を参考にするのが適当かをご紹介しています 2. Quartus II の基本操作フロー 以下の図は

More information

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ

モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサ モータ HILS の概要 1 はじめに モータ HILS の需要 自動車の電子化及び 電気自動車やハイブリッド車の実用化に伴い モータの使用数が増大しています 従来行われていた駆動用モータ単体のシミュレーション レシプロエンジンとモータの駆動力分配制御シミュレーションの利用に加え パワーウインドやサンルーフなどのボディー系 電動パワーステアリングやそのアシスト機能など 高度な制御 大電流の制御などが要求されています

More information

Quartus II クイック・スタートガイド

Quartus II クイック・スタートガイド ALTIMA Corp. Quartus II クイック スタートガイド ver.3.0 2010 年 8 月 ELSENA,Inc. 目次 1. はじめに... 3 2. Quartus II の基本操作フロー... 3 3. Quartus II の基本操作... 4 ステップ 1. プロジェクトの作成... 4 ステップ 2. デザインの作成... 4 ステップ 3. ファンクション シミュレーション...

More information

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用]

Microsoft PowerPoint - 集積回路工学_ ppt[読み取り専用] 2007.11.12 集積回路工学 Matsuzawa Lab 1 集積回路工学 東京工業大学 大学院理工学研究科 電子物理工学専攻 2007.11.12 集積回路工学 Matsuzawa Lab 2 1. 1. ハードウェア記述言語 (VHDL で回路を設計 ) HDL 設計の手順や基本用語を学ぶ RTL とは? Register Transfer Level レジスタ間の転送関係を表現したレベル慣例的に以下のことを行う

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション SATA Host/Device IP Core HDD や SSD などのストレージを使用した システム開発に最適な FPGA 向けIntelliProp 社製 SATA IP Core IntelliProp 社製 SATA Host / Device IP Coreは SATA Revision 3.0 Specificationに準拠しており 1.5Gbps 3.0Gbps 6.0Gbpsに対応しています

More information

f2-system-requirement-system-composer-mw

f2-system-requirement-system-composer-mw Simulink Requirements と新製品 System Composer によるシステムズエンジニアリング MathWorks Japan アプリケーションエンジニアリング部大越亮二 2015 The MathWorks, Inc. 1 エンジニアリングの活動 要求レベル システムレベル 要求分析 システム記述 表現 高 システム分析 システム結合 抽象度 サブシステム コンポーネントレベル

More information

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~

MATLAB/SimulinkによるAMS活用事例 ~Mixed-Signal Library 2.0のご紹介~ MATLAB/Simulink による AMS 活用事例 ~Mixed-Signal Library 2.0 のご紹介 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア竹本佳充 2012 The MathWorks, Inc. 1 Agenda 1. AMS 設計活用事例 I. ミックスドシグナルトップダウン設計 II. MATLAB/Simulinkの導入メリット

More information

ModelSim-Altera - RTL シミュレーションの方法

ModelSim-Altera - RTL シミュレーションの方法 ALTIMA Corp. ModelSim-Altera RTL シミュレーションの方法 ver.15.1 2016 年 5 月 Rev.1 ELSENA,Inc. 目次 1. 2. 3. はじめに...3 RTL シミュレーションの手順...4 RTL シミュレーションの実施...5 3-1. 3-2. 新規プロジェクトの作成... 5 ファイルの作成と登録... 7 3-2-1. 新規ファイルの作成...

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

Managing and Sharing MATLAB Code

Managing and Sharing MATLAB Code MATLAB 入門 ~ 開発向けプログラミング編 ~ MathWorks Japan アプリケーションエンジニアリング部テクニカルコンピューティング 大開孝文 2015 The MathWorks, Inc. 1 プログラミング言語としての MATLAB 2014 年 7 月 IEEE Spectrum による プログラミング言語の人気調査 (12 種類の項目での結果 ) 結果 : MATLAB が

More information

機能検証トレーニング コース一覧

機能検証トレーニング コース一覧 機能検証トレーニング コース一覧 日本シノプシス合同会社 2016.03 トレーニング コース一覧 VCS/DVE 基本コース VCS-NLP/VC LP 基本コース VC Verification IP AXI 基本コース (UVM 版 ) VC Verification IP USB 基本コース (UVM 版 ) Verdi 3 基本コース SpyGlass Lint コース SpyGlass

More information

「電子政府推奨暗号の実装」評価報告書

「電子政府推奨暗号の実装」評価報告書 2011 情財第 399 号 情報セキュリティ対策基盤整備事業 電子政府推奨暗号の実装 評価報告書 平成 24 年 12 月 [ 改訂履歴 ] 日付改訂内容 2012 年 12 月 11 日評価報告書初版発行 2012 年 12 月 21 日 2. 評価結果 内のデータを修正 ( 表 1-1 表 1-2 表 2-1 表 2-2 表 3-1 表 3-2 表 4-1 表 4-2 表 5-1 表 5-2

More information

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます 2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ

! STEP 2. Quartus Prime のダウンロード WEB ブラウザで以下の URL を開きます   2 ページ中段の Quartus Prime 開発ソフトウェア ライト エディ STEP 学習内容 パソコンに FPGA の開発環境を構築します インストールは以下の手順で行います. Quartus Prime とは 2. Quartus Prime のダウンロード. Quartus Prime のインストール. USB ドライバのインストール. Quartus Prime とは Quartus Prime は Intel の FPGA 統合開発環境です Quartus Prime

More information

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~

回路シミュレータ/MATLABリンク~詳細回路設計におけるシステムレベル検証~ 回路シミュレータ /MATLAB リンク ~ 詳細回路設計におけるシステムレベル検証 ~ MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア初井良治 2017 The MathWorks, Inc. 1 MathWorks と Cadence 社パートナーシップ OrCAD PSpice 回路設計アナログ デジタル混在の回路シミュレーション 回路設計者

More information

AMS Expoコンテンツ

AMS Expoコンテンツ 高速伝送路での信号特性の解析 ~ 新しい MATLAB /Simulink の使い方 ~ MathWorks Japan アプリケーションエンジニアリング部初井良治 2014 The MathWorks, Inc. 1 Demo MATLAB/Simulink 高速伝送特性シミュレーション DFE CDR ビット生成プリエンファシス伝送路特性データ 8B10Bエンコーダ アナログイコライザ 8B10B

More information

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード]

Microsoft PowerPoint - EMPro_ADS_co_design_draft.ppt [互換モード] 3 次元電磁界シミュレータ (EMPro) と 回路シミュレータ (ADS) との効率的な協調解析事例のご紹介 Page 1 EMPro 2010 3 次元電磁界解析専用プラットフォーム 3 次元形状入力に特化した操作性 Windows & Linux 対応 多くの 3D CAD フォーマットの Import をサポート Fastest, t Highest Capacity 3 次元フルウェーブ電磁界シミュレーション

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

制御のためのSimulink入門

制御のためのSimulink入門 今から始める Simulink 入門 ~ 制御編 ~ MathWorks Japan アプリケーションエンジニアリング部アプリケーションエンジニア張莉 2013 The MathWorks, Inc. 1 What is Simulink? 2 Why use Simulink? 例 : アポロ月着陸船のデジタル自動操縦の開発 3 Simulink を使うと? 当時の設計者が自ら Simulink

More information

VelilogHDL 回路を「言語」で記述する

VelilogHDL 回路を「言語」で記述する 2. ソースを書く 数値表現 数値表現形式 : ss'fnn...n ss は, 定数のビット幅を 10 進数で表します f は, 基数を表します b が 2 進,o が 8 進,d が 10 進,h が 16 進 nn...n は, 定数値を表します 各基数で許される値を書くこ Verilog ビット幅 基数 2 進表現 1'b0 1 2 進 0 4'b0100 4 2 進 0100 4'd4 4

More information

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx

Microsoft PowerPoint - D2_NEC福井様_発表資料 pptx MATLAB EXPO 2014 アルゴリズムと RTL を統合した 検証プラットフォームの 開発と適用 2014 年 10 月 29 日 NECプラットフォームズ ( 株 ) 福井祥布 MathWorks Japan 柴田克久 - アジェンダ - 1. はじめに NEC プラットフォームズ ( 株 ) の紹介 by 福井 モデルベースデザインによる FPGA/ASIC 開発 by 柴田 2. ソフトウエア無線の設計技術動向

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt

Microsoft PowerPoint - ARTD 2.3new_datasheet.ppt 製品 Ver2.3 の主な特徴 C 言語からのハードウェア開発 SystemCサポート ( サブセット ) 自動アーキテクチャ合成 最新技術のデータフロー解析 資源の配置と割り当て スケジューリングの自動化とコントローラの生成 詳細なパフォーマンス解析機能 パイプライン化されたVLIWアーキテクチャのコントローラ ASICとFPGAへのインプリメンテーション パス C/HDL テストベンチ生成 サイクル

More information

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D>

<4D F736F F F696E74202D C190DD B A CB48D65208E DC58F49205B8CDD8AB B83685D> 今さら聞けない高位合成 ~ 一から学ぶ高位合成 ~ シャープ株式会社電子デバイス事業本部副参事山田晃久 1 ハードウェア設計と抽象度 要求仕様 動作仕様設計制約 ( コスト 性能 消費電力 ) システムの実現方式を決定システム設計 ( 動作レベル設計 ) ( アーキテクチャ アルゴリズム ) システム分割 (HW/SW) 機能ブロック RTL 記述 機能設計 (RTL 設計 ) 論理合成 ハードウェアの処理を設計

More information

Verilog HDL による回路設計記述

Verilog HDL による回路設計記述 Verilog HDL 3 2019 4 1 / 24 ( ) (RTL) (HDL) RTL HDL アルゴリズム 動作合成 論理合成 論理回路 配置 配線 ハードウェア記述言語 シミュレーション レイアウト 2 / 24 HDL VHDL: IEEE Std 1076-1987 Ada IEEE Std 1164-1991 Verilog HDL: 1984 IEEE Std 1364-1995

More information

Microsoft PowerPoint LC_15.ppt

Microsoft PowerPoint LC_15.ppt ( 第 15 回 ) 鹿間信介摂南大学理工学部電気電子工学科 特別講義 : 言語を使った設計 (2) 2.1 HDL 設計入門 2.2 FPGA ボードの設計デモ配布資料 VHDL の言語構造と基本文法 2.1 HDL 設計入門 EDAツール : メンター社製品が有名 FPGAベンダーのSW 1 1 仕様設計 にも簡易機能あり 2 3 2 HDLコード記述 3 論理シミュレーション 4 4 論理合成

More information

0630-j.ppt

0630-j.ppt 5 part II 2008630 6/30/2008 1 SR (latch) 1(2 22, ( SR S SR 1 SR SR,0, 6/30/2008 2 1 T 6/30/2008 3 (a)(x,y) (1,1) (0,0) X Y XOR S (S,R)(0,1) (0,0) (0,1) (b) AND (a) R YX XOR AND (S,R)(1,1) (c) (b) (c) 6/30/2008

More information

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc

Microsoft Word _C2H_Compiler_FAQ_J_ FINAL.doc Nios II C2H コンパイラに関する Q&A 全般 Q:Nios II C-to-Hardware アクセラレーション コンパイラコンパイラとはとは何ですか A:Altera Nios II C-to- Hardware アクセラレーション コンパイラ ( 以下 Nios II C2H コンパイラ ) とは Nios II ユーザ向けの生産性を高めるツールです 性能のボトルネックとなるC 言語プログラムのサブルーチンを自動的にハードウェア

More information

USRPセットアップ

USRPセットアップ MATLAB and Simulink Support Package for USRP Radio セットアップ資料 MathWorks Japan 田中明美 akemi.tanaka@mathworks.co.jp 2012 The MathWorks, Inc. 1 アジェンダ セットアップの前に サポートされているハードウェア 動作チェック環境 制限事項 提供されるドライバ セットアップ MATLAB

More information

Simulinkモデル開発における工夫事例

Simulinkモデル開発における工夫事例 MBD 中部コンファレンス PMA2:MATLAB 開発 Simulink モデル開発における 工夫事例 2014 年 12 月 18 日オムロンオートモーティブエレクトロニクス株式会社開発統括室ボディコントロールシステム開発部町井紀善 はじめに Simulink の導入 開発手法 環境をそれぞれの事情 ( 会社 部署 個人 開発アイテム ビジネスモデル 等 ) に合せ込むことで効率化を実現します

More information

デジタル回路入門

デジタル回路入門 Open-It FPGA トレーニングコース ( 初級編 ) 第 9 版 2. 組み合わせ回路入門 2.2. 実習 Verilog-HDL 記述 2013 年 5 月 10 日修正 デジタル回路の構成要素 O=A&B; O=~I; INV O=A B; 全てのデジタル回路はこの 4 つの要素 ( 回路 ) のみで構成されている 4 要素の HDL 記述を知っていれば最低限の知識としては十分 2 HDL:

More information

MATLAB EXPO 2019 Japan プレゼン資料の検討

MATLAB EXPO 2019 Japan プレゼン資料の検討 自動運転向けソフトウェア Autoware と MATLAB /Simulink の連携 ~ 事例紹介 ~ 2019 年 5 月 28 日株式会社ネクスティエレクトロニクス SW 開発部技術開発グループ太田徳幸 Copyright TOMEN Electronics Corp. 目次 2/31 1. 会社概要 2. Autoware Toolbox 紹介 1. 取り組み背景 2. Autoware

More information

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討

遅延デジタルフィルタの分散型積和演算回路を用いたFPGA実装の検討 第 回電気学会東京支部栃木 群馬支所合同研究発表会 ETT--7 遅延デジタルフィルタの分散型積和演算回路を用いた FPGA 実装の検討 易茹 * 立岩武徳 ( 群馬大学 ) 浅見幸司 ( 株式会社アドバンテスト ) 小林春夫 ( 群馬大学 ) 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題 発表内容 研究の背景 目的 分散型積和演算回路 実装の検討 まとめ 今後の課題

More information

Microsoft Word - 実験4_FPGA実験2_2015

Microsoft Word - 実験4_FPGA実験2_2015 FPGA の実験 Ⅱ 1. 目的 (1)FPGA を用いて組合せ回路や順序回路を設計する方法を理解する (2) スイッチや表示器の動作を理解し 入出力信号を正しく扱う 2. スケジュール項目 FPGAの実験 Ⅱ( その1) FPGAの実験 Ⅱ( その2) FPGAの実験 Ⅱ( その3) FPGAの実験 Ⅱ( その4) FPGAの実験 Ⅱ( その5) FPGAの実験 Ⅱ( その6) FPGAの実験 Ⅱ(

More information

Presentation Title

Presentation Title ( ) 2017 The MathWorks, Inc. 1 新型乗用車の CO2 規制の比較 出典 :the International Council on Clean Transportation 2 自動車台数は今後も増加 多くの割合は内燃機関を使用 OEM 各社はコンセプト 想定ターゲットに応じて最適なパワートレインを選択 3 厳しい燃費規制に対応必至 従来の燃焼エンジンからフルバッテリのモータまで

More information

インテル(R) Visual Fortran コンパイラ 10.0

インテル(R) Visual Fortran コンパイラ 10.0 インテル (R) Visual Fortran コンパイラー 10.0 日本語版スペシャル エディション 入門ガイド 目次 概要インテル (R) Visual Fortran コンパイラーの設定はじめに検証用ソースファイル適切なインストールの確認コンパイラーの起動 ( コマンドライン ) コンパイル ( 最適化オプションなし ) 実行 / プログラムの検証コンパイル ( 最適化オプションあり ) 実行

More information

g2-soc-fpga-implementation-mw

g2-soc-fpga-implementation-mw HW/SW のパフォーマンス解析 最適化および協調設計 MathWorks Japan アプリケーションエンジニアリング部松本充史 2015 The MathWorks, Inc. 1 よくある質問 @ コード生成ツール 最適化された C/HDL コードが生成されますか? 各種アルゴリズム沢山詰め込みたい マルチコア CPU でマルチタスクのシミュレーションできますか? モータ制御における複数のタスク

More information

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~

MATLAB® における並列・分散コンピューティング ~ Parallel Computing Toolbox™ & MATLAB Distributed Computing Server™ ~ MATLAB における並列 分散コンピューティング ~ Parallel Computing Toolbox & MATLAB Distributed Computing Server ~ MathWorks Japan Application Engineering Group Takashi Yoshida 2016 The MathWorks, Inc. 1 System Configuration

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.14 2015 年 4 月 Rev.1.1 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. 2. 3. はじめに...3 サポート環境...4 操作方法...5 3-1. 3-2. 論理合成ツールとのインタフェース設定... 5 シミュレーション ツールとのインタフェース設定...

More information

スライド 1

スライド 1 知能制御システム学 画像処理の高速化 OpenCV による基礎的な例 東北大学大学院情報科学研究科鏡慎吾 swk(at)ic.is.tohoku.ac.jp 2007.07.03 リアルタイム処理と高速化 リアルタイム = 高速 ではない 目標となる時間制約が定められているのがリアルタイム処理である.34 ms かかった処理が 33 ms に縮んだだけでも, それによって与えられた時間制約が満たされるのであれば,

More information

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望

MATLAB/Simulinkを利用したモデルベース開発のトレンドと将来展望 MATLAB/Simulink を利用したモデルベース開発のトレンドと将来展望 2009 年 5 月 21 日 ( 木 ) 2009 CYBERNET SYSTEMS CO.,LTD. All Rights Reserved. アジェンダ はじめに The MathWorks MATLABプロダクトファミリ 自動車業界での利用 モデルベース開発 モデルベース開発とは 導入効果 開発プロセスのトレンド

More information

Expo 2014

Expo 2014 物理層 &MAC 層のマルチレイヤシミュレーションとコード生成 MathWorks Japan アプリケーションエンジニアリング部シニアアプリケーションエンジニア田中明美 2014 The MathWorks, Inc. 1 Go-Back-N ( クロスレイヤモデル ) BER/PER 特性の確認 Bit レベルで雑音を加えているため PER だけでなく BER も評価可能 2 Go-Back-N

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション MATLAB 2008 5 22 Quick history - Cleve Moler s original MATLAB program Quick history The MathWorks in 1984 Cleve Moler Jack Little MATLAB/Simulink MATLAB 80 / MATLAB Toolbox 100 Simulink Blockset Stateflow

More information

電卓の設計 1

電卓の設計 1 電卓の設計 1 FPGA Express と MAXPLUS2 に よる FPGA 設計 FPGA EXPRESS RTL circuit.edf circuit.acf RTL MAXPLUS2 FPGA circuit.acf circuit.sof, ttf, pof SRAM 2 どうして電卓なの? その場で 10 キーを使って動かせる プロセッサだと プログラムを考えたり メモリとのインタフェースが必要

More information

オンチップ・メモリ クイック・ガイド for Cyclone III

オンチップ・メモリ クイック・ガイド for Cyclone III ver.9.1 2010 年 1 月 1. はじめに アルテラ社製 FPGA デバイスにおいてオンチップ メモリ (FPGA 内部で RAM や ROM などを構成 ) を実現するには Memory Compiler メガファンクションを使用します Memory Compiler メガファンクションは Cyclone シリーズ, Arria シリーズ, Stratix シリーズ, HardCopy

More information

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor

エンティティ : インタフェースを定義 entity HLFDD is port (, : in std_logic ;, : out std_logic ) ; end HLFDD ; アーキテクチャ : エンティティの実現 architecture RH1 of HLFDD is <= xor VHDL を使った PLD 設計のすすめ PLD 利用のメリット 小型化 高集積化 回路の修正が容易 VHDL 設計のメリット 汎用の設計になる ( どこのデバイスにも搭載可能 ) 1/16 2001/7/13 大久保弘崇 http://www.aichi-pu.ac.jp/ist/~ohkubo/ 2/16 設計の再利用が促進 MIL 記号の D での設計との比較 Verilog-HDL などでも別に同じ

More information

ソフトウェア基礎技術研修

ソフトウェア基礎技術研修 算術論理演算ユニットの設計 ( 教科書 4.5 節 ) yi = fi (x, x2, x3,..., xm) (for i n) 基本的な組合せ論理回路 : インバータ,AND ゲート,OR ゲート, y n 組合せ論理回路 ( 復習 ) 組合せ論理回路 : 出力値が入力値のみの関数となっている論理回路. 論理関数 f: {, } m {, } n を実現.( フィードバック ループや記憶回路を含まない

More information

商用監視ソフトウェアユーザの Zabbix 移行へ朗報 Zabbix Event Viewer のご紹介 【本邦初公開】

商用監視ソフトウェアユーザの Zabbix 移行へ朗報 Zabbix Event Viewer のご紹介 【本邦初公開】 Zabbix フロントエンドをより使いやすく Premija Viewer for Zabbix のご紹介 オープンソースカンファレンス 2017.Enterprise 2017/12/08 SRA OSS, Inc. 日本支社 マーケティング部 OSS 技術グループ 1 アジェンダ 1. Premija Viewer for Zabbix ができるまで 2. Premija Viewer for

More information

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発

CANapeを用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 ape を用いたラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発 近年 自動車のソフトウェア開発において 開発期間の短縮やコスト削減の面からモデルベース開発が注目されています アイシン エィ ダブリュ株式会社は ラピッドコントロールプロトタイピングのバイパス手法による制御モデル開発にベクターの測定 / キャリブレーションツール ape ( キャナピー ) を導入しました 本稿では

More information

Signal Processing Toolbox

Signal Processing Toolbox Signal Processing Toolbox 信号処理 解析およびアルゴリズム開発の実行 Signal Processing Toolbox は アナログおよびデジタル信号処理 (DSP) の業界標準アルゴリズムを提供 します この Toolbox を使用すると 時間領域および周波数領域での信号の可視化 スペクトル解析 における FFT の計算 FIR および IIR フィルターの設計 コンボリューション

More information

Quartus II はじめてガイド - EDA ツールの設定方法

Quartus II はじめてガイド - EDA ツールの設定方法 ALTIMA Corp. Quartus II はじめてガイド EDA ツールの設定方法 ver.10.0 2010 年 12 月 ELSENA,Inc. Quartus II はじめてガイド EDA ツールの設定方法 目次 1. はじめに... 3 2. サポート環境... 3 3. 操作方法... 4 3-1. 論理合成ツールとのインタフェース設定... 4 3-2. シミュレータ ツールとのインタフェース設定...

More information

9 WEB監視

9  WEB監視 2018/10/31 02:15 1/8 9 WEB 監視 9 WEB 監視 9.1 目標 Zabbix ウェブ監視は以下を目標に開発されています : ウェブアプリケーションのパフォーマンスの監視 ウェブアプリケーションの可用性の監視 HTTPとHTTPSのサポート 複数ステップで構成される複雑なシナリオ (HTTP 要求 ) のサポート 2010/08/08 08:16 Kumi 9.2 概要 Zabbix

More information

Handsout3.ppt

Handsout3.ppt 論理の合成 HDLからの合成 n HDLから初期回路を合成する u レジスタの分離 u 二段 ( 多段 ) 論理回路への変形 n 二段論理回路の分割 n 多段論理回路への変形 n 多段論理回路の最適化 n テクノロジマッピング u 面積, 速度, 消費電力を考慮したライブラリの割当 1 レジスタの分離 process (clk) begin if clk event and clk = 1 then

More information

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ

Oracle Un お問合せ : Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよ Oracle Un お問合せ : 0120- Oracle Data Integrator 11g: データ統合設定と管理 期間 ( 標準日数 ):5 コースの概要 Oracle Data Integratorは すべてのデータ統合要件 ( 大量の高パフォーマンス バッチ ローブンの統合プロセスおよびSOA 対応データ サービスへ ) を網羅する総合的なデータ統合プラットフォームです Oracle

More information

JP-2-Develop Websites and Components in AEM v6x_(V3_after QA)_1111

JP-2-Develop Websites and Components in AEM v6x_(V3_after QA)_1111 Components using Adobe Experience Manager v6.x Develop Websites and 目次 1 アーキテクチャスタック...8 1.1 アーキテクチャスタックの基礎... 8 1.2 Granite プラットフォームの概要... 8 1.3 Java Content Repository の概要... 9 1.4 Apache Sling の概要...

More information

スライド 1

スライド 1 FPGA/HDLを活用したソフトウェア並列処理の構築 goyoki @ 並列プログラミングカンファレンス 自己紹介 goyoki(hatena/twitter) 千里霧中 http://d.hatena.ne.jp/goyoki/ 組込みエンジニア Doxygen 日本語メンテナ 主にテスト関連コミュニティで情報発信 yomite.swtest xunit Test Patterns 読書会等 概要

More information

PSIM Version 9

PSIM Version 9 PSIM Version 9.2 の 新 機 能 PSIM Version 9.2 の 主 な 新 機 能 : 新 機 能 HEV Design Suite リチウムイオンバッテリモデル モータ 駆 動 や HEV のための 最 大 トルク 制 御 弱 め 磁 束 制 御 などの 制 御 ブロック 追 加 空 間 ベクトル PWM ブロック 追 加 数 式 演 算 機 能 を 持 ったパラメータツール

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

リファレンスアプリケーション RefApp7

リファレンスアプリケーション RefApp7 リファレンスアプリケーション RefApp7 導入ガイド 概要 RefApp7.exe リファレンス制御アプリケーションは Windows 7 以降の 32bit 版と 64bit 版の両方の環境で動作します RefApp7 を運用する場合には マイクロソフト社提供の WinUSB 汎用デバイス ドライバが必要です このため 従来の制御ソフトウエア RefApp2 や RefApp3 が動作する環境でそのまま実行できません

More information

IBIS

IBIS IBISBuilder IBISIndicator R1.2 リリースノート Dec. 2009 IBISBuilder IBISIndicator 1 IBISBuilder IBISIndicator は サイバネットシステム株式会社の登録商標です その他 本書に記載の会社名 商品名は当該各社に帰属する商標または登録商標です 発行者 : サイバネットシステム株式会社 東京本社 : 101-0022

More information

学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1

学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1 学生 23 省メモリ指向一枚超解像 アーキテクチャとその FPGA 実装 北海道大学大学院情報科学研究科 大平貴徳 真田祐樹 築田聡史 五十嵐正樹 池辺将之 浅井哲也 本村真人 1 研究背景 映像機器の高機能化に伴う映像の高解像化 ーレティナディスプレイー 4K ハイビジョンテレビ 低解像度の映像コンテンツが数多く存在 4K テレビ 解像度を高める研究 ( 超解像 ) ー高速ー低コストー解像度の精度

More information

Virtex-6 Clocking

Virtex-6 Clocking Spartan-6 クロックリソース Proprietary to PALTEK CORPORATION 1 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 2 AGENDA はじめに クロックネットワーク クロックマネージメントタイル (CMT) 使用例 3 高速なクロッキング 新型アプリケーションには複雑なクロック要件が必要 : 高速クロック信号

More information

MATLAB EXPO 2015 Japan 次世代モデルベース検証ソリューションで テスト・デバッグ改善

MATLAB EXPO 2015 Japan  次世代モデルベース検証ソリューションで テスト・デバッグ改善 次世代モデルベース検証ソリューションで テスト デバッグ改善 MathWorks Japan アプリケーションエンジニアリング部 ( 制御 ) リャンティファニー 2015 The MathWorks, Inc. 1 アジェンダ はじめに 検証作業におけるチャレンジ & 新しいソリューション まとめ 2 モデルベースデザイン / 開発 (MBD) が量産制御ソフト開発に求められる背景 課題解決策効果

More information

Microsoft PowerPoint - 3.3タイミング制御.pptx

Microsoft PowerPoint - 3.3タイミング制御.pptx 3.3 タイミング制御 ハザードの回避 同期式回路と非同期式回路 1. 同期式回路 : 回路全体で共通なクロックに合わせてデータの受け渡しをする 通信における例 :I 2 C(1 対 N 通信 ) 2. 非同期式回路 : 同一のクロックを使用せず データを受け渡す回路間の制御信号を用いてデータの受け渡しをす 通信における例 :UART(1 対 1 通信 ) 2 3.3.1 ハザード 3 1 出力回路のハザード

More information

計算機アーキテクチャ

計算機アーキテクチャ 計算機アーキテクチャ 第 11 回命令実行の流れ 2014 年 6 月 20 日 電気情報工学科 田島孝治 1 授業スケジュール ( 前期 ) 2 回日付タイトル 1 4/7 コンピュータ技術の歴史と コンピュータアーキテクチャ 2 4/14 ノイマン型コンピュータ 3 4/21 コンピュータのハードウェア 4 4/28 数と文字の表現 5 5/12 固定小数点数と浮動小数点表現 6 5/19 計算アーキテクチャ

More information

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー

NSW キャリア採用募集職種一覧 2018/8/16 現在 求人番号 職種対象業務必要とするスキル 経験 資格等勤務地 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 渋谷 2 プロジェクトマネー NSW キャリア採用募集職種一覧 2018/8/16 現在 1 営業スペシャリスト金融 ( 損保 生保 クレジット ) 業でのソリューション営業 IT 業界での営業経験 金融業界 IT 業界での人脈がある方尚可 2 プロジェクトマネージャシステム開発またはインフラ構築のプロジェクトマネージャ プロジェクトマネージャ経験 PMP の資格保有者 高度情報処理試験資格保有者尚可 3 プロジェクトマネージャ生保または損保システム開発のプロジェクトマネージャ

More information

Microsoft PowerPoint - presen3x4an.pptx

Microsoft PowerPoint - presen3x4an.pptx パイプライン処理のための 演算仕様記述言語 mhdl と その処理系 シグナル プロセス ロジック株式会社瀬尾雄三 mhdl 開発の背景 CodeSqueezer ボタンを押すだけで数値演算論理を形成 x a b c パイプライン処理演算論理 (black box) y=a*x^2+b*x+c x+c 演算仕様を言語 mhdl で記述 reg ツールの想定用途と要求 FPGA を用いた小ロット製品の設計

More information

ISE 10.1 Editor Presentation

ISE 10.1 Editor Presentation デザイン ツールの最新版 ISE Design Suite 10.1 * この資料に記載されている会社名 製品名は 各社の登録商標または商標です 本日のニュース 1 常に業界をリードしてきた ISE デザイン ツール 2 デザイン ツールを取り巻く要因と業界の重要課題 3 ISE Design Suite 10.1 の紹介 4 まとめ ISE Design Suite 10.1 2 ザイリンクスのデザイン

More information

Introduction to System Identification

Introduction to System Identification y(t) モデルベースデザイン 制御系設計のためのシステム同定入門 s 2 Teja Muppirala t s 2 3s 4 2012 The MathWorks, Inc. 1 モデルベースデザイン 正確なモデルがあることが大前提 実行可能な仕様書 シミュレーションによる設計 モデル 連続したテスト 検証 コード生成による実装 2 動的システムのモデリング モデリング手法 第一原理モデリング データドリブンモデリング

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

目次 1. CAD インターフェイス (3D_Analyzer&3D_Evolution) ユーザーインターフェイス機能強化 (3D_Analyzer&3D_Evolution)... 3 レポート... 3 クリッピング機能... 4 言語... 4 表示オプション

目次 1. CAD インターフェイス (3D_Analyzer&3D_Evolution) ユーザーインターフェイス機能強化 (3D_Analyzer&3D_Evolution)... 3 レポート... 3 クリッピング機能... 4 言語... 4 表示オプション 2016 年 6 月 22 日 3D_Analyzer & 3D_Evolution リリースノート 1/8 目次 1. CAD インターフェイス (3D_Analyzer&3D_Evolution)... 3 2. ユーザーインターフェイス機能強化 (3D_Analyzer&3D_Evolution)... 3 レポート... 3 クリッピング機能... 4 言語... 4 表示オプション...

More information

2/17 目次 I. はじめに... 3 II. 操作手順 (Controlの場合) 断面の作成 寸法測定 異なる断面間の寸法測定 繰り返し処理...11 III. 操作手順 (Verifyの場合) 断面の作成... 1

2/17 目次 I. はじめに... 3 II. 操作手順 (Controlの場合) 断面の作成 寸法測定 異なる断面間の寸法測定 繰り返し処理...11 III. 操作手順 (Verifyの場合) 断面の作成... 1 Geomagic Control / Verify 操作手順書 2D 断面における寸法測定 第 2 版 2016.6.1 会社名 連絡先変更初版 2016.3.10 新規発行 2/17 目次 I. はじめに... 3 II. 操作手順 (Controlの場合)... 4 1. 断面の作成... 4 2. 寸法測定... 6 3. 異なる断面間の寸法測定... 9 4. 繰り返し処理...11 III.

More information

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP

履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/ 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です SiTCP の概要や各信号意味などは別文書 SiTCP SiTCP ライブラリ 第 1.1 版 2012 年 10 月 24 日 内田智久 Electronics system group, IPNS, KEK 1 / 12 履歴 修正日 内容 2011/01/18 第 1 版制定 2012/10/24 1.1 版 内容 Bee Beans Technologies 社から配布されているネットワーク プロセッサ (SiTCP) のライブラリ使用方法を解説した文書です

More information

Python によるジオプロセシング スクリプト入門

Python によるジオプロセシング スクリプト入門 アイコンの説明... 8 第 1 章イントロダクション... 9 本コースの目的... 11 講習内容... 11 講習資料... 12 イントロダクション... 12 ArcGIS... 13 Web サイト... 13 開発者向けプログラム / サービス... 14 演習 1: 演習環境の構築... 15 ステップ 1: Windows へのログオン ( オプション )... 15 ステップ 2:

More information

<4D F736F F D20837D815B B838B837A838B835F E836782CC91E391D68EE892692E646F63>

<4D F736F F D20837D815B B838B837A838B835F E836782CC91E391D68EE892692E646F63> マージモジュールホルダオブジェクトの代替手段 検証したバージョン : InstallShield 2011 Premier Edition 対象プロジェクト : InstallScript プロジェクト 概要 InstallScript 形式プロジェクトのマージモジュールホルダオブジェクトとは 本来 MSI 形式のインストーラで用いられるマージモジュールを InstallScript 形式のインストーラにおいて容易に使用するために開発されました

More information

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない

ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力 冶具 ケーブル等の影響のない Keysight Technologies を使用した De-Embedding 2016.4.27 キーサイト テクノロジー計測お客様窓口 ディエンベディングとは冶具やケーブルによる観測信号の劣化を S パラメータデータを利用して計算により補正する TX 冶具ケーブル 被測定物の出力 De-Embedding 冶具 ケーブル等の影響を受けた波形 冶具 ケーブル等の S パラメータデータ TX 被測定物の出力

More information

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は

3. 回路図面の作図 回路図の作成では 部品など回路要素の図記号を配置し 要素どうしを配線するが それぞれの配線には 線番 などの電気的な情報が存在する 配線も単なる線ではなく 信号の入力や出力など部品どうしを結び付ける接続情報をもたせることで回路としての意味をもつ このように回路図を構成する図面は 汎用 CAD に対する電気設計専用 CAD の優位性 株式会社ワコムソフトウェア営業本部ソフトウェア営業部 1. はじめに弊社は 1984 年に電気設計専用 CAD システムを発売以来 日本のものづくりを担うお客様とともに成長し 電気制御設計の現場で 要求レベルの高いお客様ニーズに応えるために改良に改良を重ね 卓越した製品力を誇るまでに至った しかしながら 電気設計の用途でも汎用 CAD を利用されている企業は多く存在している

More information

Microsoft Word - RefApp7インストールガイド.doc

Microsoft Word - RefApp7インストールガイド.doc リファレンスアプリケーション RefApp7 導入ガイド 概要 新しい RefApp7.exe リファレンス制御アプリケーションは Windows7 または Windows Vista の 32bit 版および 64bit 版の両方の環境で動作します RefApp7 を運用する場合には マイクロソフト社提供の WinUSB 汎用デバイス ドライバが必要です このため 従来の制御ソフトウエア RefApp2

More information

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+)

RL78開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行(統合開発環境編)(High-performance Embedded Workshop→CS+) RL78 開発環境移行ガイド R8C/M16C, H8S/H8SXからRL78への移行 ( 統合開発環境編 ) (High-performance Embedded Workshop CS+) 2017/4/7 R20UT2087JJ0103 ソフトウェア事業部ソフトウエア技術部ルネサスシステムデザイン株式会社 はじめに 本資料は 統合開発環境 High-performance Embedded Workshop

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

福岡カーエレ研究会

福岡カーエレ研究会 モデルベース開発の業界動向 2011.10.14 MathWorks Japan インダストリーマーケティング部柴田克久 2011 The MathWorks, Inc. 1 Agenda はじめに 今日のモデルベース開発とその背景 ユーザー事例にみるモデルベース開発のトレンド 事例紹介 : 東風車両 /Medrad/Faraday モデルベース開発のトレンド ユーザーニーズの変化への対応 MBD

More information

本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作

本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作 TOKYOELECTRONDEVICE 本操作マニュアルは ATLYS ボードと一緒に Digilent 社オプションモジュールである VmodCAM - Stereo Camera Module のリファレンスデザインをそのまま流用した操作手順のご紹介になります リファレンスデザインは他にもWEB に掲載ございますので 活用頂き 本操作は一例としてご参照下さい リファレンスデザイン内の ISEプロジェクトを立ち上げ

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

PowerPoint Presentation

PowerPoint Presentation VME Embedded System ユーザーズマニュアル ~ Slim VME Embedded ~ Tecstar Page: 1 Agenda 1. VME Embedded System 概要 2. VME Embedded の特徴 3. Embedded Overview 4. VMEファイルとHEXファイルについて 5. Slim VME について 6. Deployment Toolの起動方法について

More information

SysMLに関する操作方法について

SysMLに関する操作方法について SysML に関する 操作方法について (2017/12/21 版 ) SparxSystems Japan Co., Ltd. 前提 設定 2 利用条件 以下のいずれかの条件を満たすことが必要 Enterprise Architect+ 有償アドイン MDG Technology for SysML EA Suite システムエンジニアリング版あるいはアルティメット版 ( これらのエディションには

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Nios II Flash Programmer ユーザ・ガイド

Nios II Flash Programmer ユーザ・ガイド ver. 8.0 2009 年 4 月 1. はじめに 本資料は Nios II 開発環境においてフラッシュメモリ または EPCS へのプログラミングを行う際の参考マニュアルです このマニュアルでは フラッシュメモリの書き込みの際に最低限必要となる情報を提供し さらに詳しい情報はアルテラ社資料 Nios II Flash Programmer User Guide( ファイル名 :ug_nios2_flash_programmer.pdf)

More information

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』

Xilinx XAPP645 Virtex-II Pro デバイス アプリケーション ノート『シングル エラー訂正およびダブル エラー 検出』 アプリケーションノート : Virtex-II Pro および Virtex-4 ファミリ R XAPP5 (v2.1) 2005 年 7 月 20 日 著者 : Simon Tam 概要 このアプリケーションノートでは Virtex -II Virtex-II Pro または Virtex-4 デバイスにおける Error Correction Control (ECC) モジュールのインプリメンテーションについて説明します

More information

Source Insight

Source Insight ソースインサイト プログラムエディタ Source Insight のご紹介 ソースを理解しながら 効率の良いコーディング エクセルソフト株式会社営業部 エクセルソフト株式会社 Copyright 2008 XLsoft K.K. All Rights Reserved. - 1 - 目次 プログラムエディタ Source Insight のご紹介 ソースを理解しながら 効率の良いコーディング 目次

More information