THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. dou

Size: px
Start display at page:

Download "THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. dou"

Transcription

1 九州大学学術情報リポジトリ Kyushu University Institutional Repository キャッシュ ミス頻発ロード命令を対象としたミス原因解析 三輪, 英樹九州大学大学院システム情報科学府 堂後, 靖博福岡大学大学院工学研究科 井上, 弘士九州大学大学院システム情報科学府 村上, 和彰九州大学大学院システム情報科学府 出版情報 : 電子情報通信学会技術研究報告, CPSY005-(005-08). 105 (6), pp.43-48, 電子情報通信学会 CPSY 研究会バージョン :accepted 権利関係 :

2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. DRAM 100 () 90 % Abstract Behavior Analysis for Delinquent Loads Hideki MIWA, Yasuhiro DOUGO, Koji INOUE, and Kazuaki MURAKAMI Dept. of Informatics, Kyushu University Dept. of Electronics Engineering, Fukuoka University {h-miwa,inoue,murakami}@i.kyushu-u.ac.jp, dougo@v.tl.fukuoka-u.ac.jp In recent years, the performance of microprocessors has been improved extremely. On the other hand, DRAMs, commonly used as the main memory, is about 100 times as slow as microprocessors. In this situation, DRAMs suppress the performance of microprocessors. This problem is commonly called Memory Wall Problem. For the performance improvement of computer systems, it is very important to solve this problem. Currently, the authors are developing cache miss penalty reduction techniques focused on the delinquent loads which cause the cache misses frequently. Such load instructions are responsible for 90% of all the cache misses, and deteriorate the performance. In this paper, to reveal the cause of cache misses, the authors investigate the memory access patterns for several benchmark programs. The results show that almost all of the data which cause cache misses had been written to memory system by store instructions. Key words cache memory, memory wall problem, cache miss penalty reduction, delinquent load instructions 1. DRAM DRAM DRAM 1 1

3 ( ) (Delinquent Load DL ) [1] DL [1] [7] DL DL [8] DL DL DL DL (Corresponding Store CS ) DL CS 1 DL CS DL DL CS CS. DL CS DL CS DL CS c=a+b; z=x+c; 1 Load a Load b Add c, a, b Store c Load c Load x Add z, x, c Store z CS DL DL CS 3bit RISC 1 L. 1 DL CS DL DL CS pc i pc j (1) () Load(pc i ) (i = 1,,, i load ) (1) Store(pc j ) (j = 1,,, j store ) () pc i, pc j : i load, j store : n, m ( n, m ) (3) (4) Load(pc i, n) (n = 1,,, n i) (3) Store(pc j, m) (m = 1,,, m j) (4) n, m: n i, m j : pc i, pc j (1) () (3) () d inst addr (5) L stat (6) addr = DataAddr(d inst) (5) stat = LDataMissStatus(Load(pc i, n)) (6)

4 ( stat=1 : stat=0) LDataMissCount ( Load(pc i ) ) LDataMissCount ( Load(pc i ) ) n i = LDataMissStatus ( Load(pc i, n) ) (7) n=1 L LDataMissCount ( Load(pc i) ) 1 (8) LDataMissRank ( Load(pc i ) ) (8) DL CS DL L 16 1 CS DL (9) dlpc x DL (10) cspc y CS LDataMissRank ( Load(dlpc x) ) < = 16 (9) DataAddr ( Load(dlpc x, n) ) = DataAddr ( Store(cspc y, m) ) (10) ( n, m n < = n pci, m < = m pcj ). DL CS DL CS DL CS DL DL CS 3 DL DL DL CS CS DL 1 16 CS DL CS DL CS CS DL CS CS DL CS 3 DL CS CS DL SimpleScalar Toolset [9] Version 3.0d sim-outorder SimpleScalar 3bit MIPS [10] PISA Alpha AXP [11]. PISA 1 SPEC CPU 000 [1] 10 SimpleScakar gcc O [13] SimpleScalar sim-safe SPEC CPU 000 SPEC CPU 000 Reference 0 3

5 1 Type (gshare, K ) BTB 51, 4 RAS 3 8 /cc 8 /cc IFQ 8 RUU 64 LSQ 3 L1 3KB (64B/,, 56 ) L1 3KB (64B/, 1, 51 ) L MB (64B/, 4, 819 ) L1 1 cc L 16 cc 50 cc 8B ITLB, DTLB 1M (4KB/, 56 /, 4 ) 30 cc ( ) ALU 4, 1 cc, 1 cc Mult. 1, 3 cc, 1 cc Div. 1, 0 cc, 19 cc ( ) ALU 4, cc, 1 cc Mult. 1, 4 cc, 1 cc Div. 1, 1 cc, 1 cc SQRT 1, 4 cc, 4 cc (cc: clock cycle(s)) 177.mesa art 183.equake 188.ammp 164.gzip 176.gcc C 181.mcf 197.parser 55.vortex 56.bzip 3. DL DL DL L DL 179.art, 188.ammp, 181.mcf : DL 80-90% DL DL 183.equake, 164.gzip, 197.parser, 55.bzip: % DL (%) DL mesa 179.art 183.equake 188.ammp 164.gzip 176.gcc 181.mcf 197.parser 55.vortex 56.bzip 177mesa 179art 183equake 188ammp 164gzip 176gcc 181mcf 197parser 55vortex 56bzip 3 CS 177.mesa, 176.gcc, 55.vortex: 10% 3. 3 CS DL CS DL PC DL L DL CS 3 3 DL CS DL CS 3. 4 CS DL 3. 3 DL CS CS DL CS 3. 3 (179.art, 188.ammp, 181.mcf ) 4, 5, 6, DL 4

6 CS DL PC CS PC DL PC DL L DL DL CS ammp 16 L DL (PC) (PC) 400f (179.art, 188.ammp, 181.mcf ) 4, 5, art: (PC) 400bb8, 401d ammp: (PC) 40ad mcf : 179.art, 188.ammp CS CS CS 4. DL CS [1] J. D. Collins, H. Wang, D. M. Tullsen, C. Hughes, G. Hoflener, D. Lavery and J. P. Shen: Speculative precomputation: Long-range prefetching of delinquent loads, Proc of the 8th Intl. Symposium on Computer Architecture (001). [] A. Roth and G. Sohi: Speculative data-driven multithreading, Proc of the 7th Intl. Symposium on High-Performance Computer Architecture (001). [3] D. Kim and D. Yeung: Design and evaluation of compiler algorithms for pre-execution, Proc of the 10th Intl. Conference on Architectural Support for Programming Languages and Operating Systems (00). [4] S. S. Liao, P. H. Wang, H. Wang, G. Hoflener, D. Lavery and J. P. Shen: Post-pass binary adaptation for softwarebased speculative precomputation, Proc of the ACM SIG- PLAN 00 Conference on Programming Language Design and Implementation (00). [5] C. K. Luk: Tolerating memory latency through softwarecontrolled pre-execution in simultaneous multithreading processor, Proc of the 8th Intl. Symposium on Computer Architecture (001). [6] M. Annavaram, J. M. Patel and E. S. Davidson: Data prefetching by dependence graph precomputation, Proc of the 8th Intl. Symposium on Computer Architecture (001). [7] A. Moshovos, D. N. Pnevmatikatos and A. Baniasadi: Slice-processors, Proc of the Intl. Conference on Supercomputiong (001). [8],, V. M. G. Ferreira,,,, 004-ARC-160 (004). [9] D. Burger and T. M. Austin: The simplescalar tool set, version.0, University of Wisconsin-Madison Computer Sciences Department Technical Report (1997). [10] J. Hennessy, N. Jouppi, S. Przybylski, C. Rowen, T. Gross, F. Baskett and J. Gill: Mips: A microprocessor architecture, Proceedings of the 15th annual workshop on Microprogramming (198). [11] R. L. Sites: Alpha axp architecture, Communications of the ACM, 36, pp (1993). [1] J. L. Henning: Spec cpu000: Measuring cpu performance in the new millennium, 33, 7 (000). [13] M. Oskin: Pisa gcc.7..3 cross compiler. DL CS DL DL DL CS CS DL 5

7 DL1_401d18 DL15_4009f CS_4038 DL16_40108 CS_400f48 DL14_403c CS_403df0 CS_403cd0 DL8_401a CS_400ed0 DL15_4038e8 CS_400a8 797 DL6_401a CS_ DL14_4038f0 1 CS_400a30 DL11_4007c CS_40e DL5_401ef0 DL16_400b0 DL3_401d CS_4031d8 DL13_40390 DL8_48b CS_400a00 CS_48d10 CS_48e80 DL16_40d CS_40930 CS_40e10 DL4_400a CS_400a88 DL7_ CS_48cb8 77 DL8_4037f CS_40d30 8 DL9_401cc DL_401ef DL14_400a CS_40148 DL6_439c0 DL_40afe8 DL9_40af CS_43890 CS_40ace0 DL7_4037e8 DL13_40d CS_4007b8 CS_40960 DL10_401e CS_401d90 DL1_40aeb CS_40ad90 DL6_ CS_40ea8 DL11_400b DL3_40aff CS_ DL13_ DL5_40ad78 1 CS_40ad98 DL4_403d CS_ DL1_401f CS_400bb8 DL7_400b30 4 DL CS : 179.art 56 DL10_40aea0 DL1_ CS_408b8 5 DL CS : 188.ammp 6 DL CS : 181.mcf 6

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076%

FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT IPC FabCache 0.076% 2013 (409812) FabHetero FabHetero FabHetero FabCache FabCache SPEC2000INT 6 1000 IPC FabCache 0.076% Abstract Single-ISA heterogeneous multi-core processors are increasing importance in the processor architecture.

More information

DRAM L2 L2 DRAM L2 DRAM L2 RAM DRAM 3 DRAM 3. 1 DRAM SRAM/DRAM 2. SRAM/DRAM DRAM LLC Last Level Cache 2 2) DRAM 1(A) (B) LLC L2 DRAM DRAM L2 SRAM DRAM

DRAM L2 L2 DRAM L2 DRAM L2 RAM DRAM 3 DRAM 3. 1 DRAM SRAM/DRAM 2. SRAM/DRAM DRAM LLC Last Level Cache 2 2) DRAM 1(A) (B) LLC L2 DRAM DRAM L2 SRAM DRAM SRAM/DRAM 1 1 2 2 3 DRAM DRAM 2 SRAM/DRAM 1) 1) L2 3.01 1.17 Run-time Operation-Mode Management on SRAM/DRAM Hybrid Cache SHINYA HASHIGUCHI, 1 NAOTO FUKUMOTO, 1 KOJI INOUE 2 and KAZUAKI MURAKAMI 2 3D stacked

More information

スペキュレーティブ・プリコンピュテーション:マルチスレッディング・リソースの活用によるレイテンシの削減

スペキュレーティブ・プリコンピュテーション:マルチスレッディング・リソースの活用によるレイテンシの削減 Hong Wang -- Perry H. Wang -- Ross Dave Weldon -- Scott M. Ettinger -- Hideki Saito -- Milind Girkar -- Steve Shih-wei Liao -- John P. Shen -- (SP) SP SP SP 1 PC (delinquent ) delinquent SP SP SP 1 Xeon

More information

Vol.-ARC-8 No.8 Vol.-OS- No.8 // DRAM DRAM DRAM DRAM ) DRAM. DRAM. ) DRAM DRAM DRAM DRAM DRAM SRAM DRAM MB B MB DRAM SRAM.. DRAM DRAM SRAM DRAM SRAM C

Vol.-ARC-8 No.8 Vol.-OS- No.8 // DRAM DRAM DRAM DRAM ) DRAM. DRAM. ) DRAM DRAM DRAM DRAM DRAM SRAM DRAM MB B MB DRAM SRAM.. DRAM DRAM SRAM DRAM SRAM C IPSJ SIG Technical Report Vol.-ARC-8 No.8 Vol.-OS- No.8 // DRAM- DRAM DRAM DRAM % % On-Chip Memory Architecture for DRAM Stacking Microprocessors SHINYA HASHIGUCHI, TAKATSUGU ONO, KOJI INOUE and KAZUAKI

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0

Core1 FabScalar VerilogHDL Cache Cache FabScalar 1 CoreConnect[2] Wishbone[3] AMBA[4] AMBA 1 AMBA ARM L2 AMBA2.0 AMBA2.0 FabScalar AHB APB AHB AMBA2.0 AMBA 1 1 1 1 FabScalar FabScalar AMBA AMBA FutureBus Improvement of AMBA Bus Frame-work for Heterogeneos Multi-processor Seto Yusuke 1 Takahiro Sasaki 1 Kazuhiko Ohno 1 Toshio Kondo 1 Abstract: The demand

More information

16.16%

16.16% 2017 (411824) 16.16% Abstract Multi-core processor is common technique for high computing performance. In many multi-core processor architectures, all processors share L2 and last level cache memory. Thus,

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. E-mail: {ytamura,takai,tkato,tm}@vision.kuee.kyoto-u.ac.jp Abstract Current Wave Pattern Analysis for Anomaly

More information

4.1 % 7.5 %

4.1 % 7.5 % 2018 (412837) 4.1 % 7.5 % Abstract Recently, various methods for improving computial performance have been proposed. One of these various methods is Multi-core. Multi-core can execute processes in parallel

More information

B

B B 27 1153021 28 2 10 1 1 5 1.1 CPU................. 5 1.2.... 5 1.3.... 6 1.4.. 7 1.5................................ 8 2 9 2.1.................................. 9 2.2............................ 10 2.3............................

More information

P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i

P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i 27 Verification of the usefulness of the data distribution method by browser cache sharing 1160285 2016 2 26 P2P Web Proxy P2P Web Proxy P2P P2P Web Proxy P2P Web Proxy Web P2P WebProxy i Abstract Verification

More information

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han

Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Han Chip Size and Performance Evaluations of Shared Cache for On-chip Multiprocessor Takahiro SASAKI, Tomohiro INOUE, Nobuhiko OMORI, Tetsuo HIRONAKA, Hans J. MATTAUSCH, and Tetsushi KOIDE 1 1 2 0.5 µm CMOS

More information

fiš„v8.dvi

fiš„v8.dvi (2001) 49 2 333 343 Java Jasp 1 2 3 4 2001 4 13 2001 9 17 Java Jasp (JAva based Statistical Processor) Jasp Jasp. Java. 1. Jasp CPU 1 106 8569 4 6 7; fuji@ism.ac.jp 2 106 8569 4 6 7; nakanoj@ism.ac.jp

More information

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c

1 1 CodeDrummer CodeMusician CodeDrummer Fig. 1 Overview of proposal system c CodeDrummer: 1 2 3 1 CodeDrummer: Sonification Methods of Function Calls in Program Execution Kazuya Sato, 1 Shigeyuki Hirai, 2 Kazutaka Maruyama 3 and Minoru Terada 1 We propose a program sonification

More information

Microsoft PowerPoint MPSoC-KojiInoue-web.pptx

Microsoft PowerPoint MPSoC-KojiInoue-web.pptx Adaptive Execution on 3D Microprocessors Koji Inoue Kyushu University 1 Outline Why 3D? Will 3D always work well? work well? Support Adaptive Execution! Memory Hierarchy Run time Optimization Conclusions

More information

<95DB8C9288E397C389C88A E696E6462>

<95DB8C9288E397C389C88A E696E6462> 2011 Vol.60 No.2 p.138 147 Performance of the Japanese long-term care benefit: An International comparison based on OECD health data Mie MORIKAWA[1] Takako TSUTSUI[2] [1]National Institute of Public Health,

More information

06’ÓŠ¹/ŒØŒì

06’ÓŠ¹/ŒØŒì FD. FD FD FD FD FD FD / Plan-Do-See FD FD FD FD FD FD FD FD FD FD FD FD FD FD JABEE FD A. C. A B .. AV .. B Communication Space A FD FD ES FD FD The approach of the lesson improvement in Osaka City University

More information

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us

& Vol.2 No (Mar. 2012) 1,a) , Bluetooth A Health Management Service by Cell Phones and Its Us 1,a) 1 1 1 1 2 2 2011 8 10, 2011 12 2 1 Bluetooth 36 2 3 10 70 34 A Health Management Service by Cell Phones and Its Usability Evaluation Naofumi Yoshida 1,a) Daigo Matsubara 1 Naoki Ishibashi 1 Nobuo

More information

2 122

2 122 32 2008 pp. 121 133 1 Received November 4, 2008 The aim of this paper is to clarify some profound changes in the language used in the visual media, especially in TV news programs in Japan, and show what

More information

IPSJ SIG Technical Report 1 1, Nested Transactional Memory Selecting the Optimal Rollback Point Yuji Ito, 1 Ryota Shioya, 1, 2 Masahiro Goshima

IPSJ SIG Technical Report 1 1, Nested Transactional Memory Selecting the Optimal Rollback Point Yuji Ito, 1 Ryota Shioya, 1, 2 Masahiro Goshima 1 1, 2 1 1 Nested Transactional Memory Selecting the Optimal Rollback Point Yuji Ito, 1 Ryota Shioya, 1, 2 Masahiro Goshima 1 and Shuichi Sakai 1 Lock-based synchronization is common in parallel programming.

More information

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,,

1., 1 COOKPAD 2, Web.,,,,,,.,, [1]., 5.,, [2].,,.,.,, 5, [3].,,,.,, [4], 33,.,,.,,.. 2.,, 3.., 4., 5., ,. 1.,,., 2.,. 1,, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE.,, 464 8601 470 0393 101 464 8601 E-mail: matsunagah@murase.m.is.nagoya-u.ac.jp, {ide,murase,hirayama}@is.nagoya-u.ac.jp,

More information

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi

A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi A Study on Throw Simulation for Baseball Pitching Machine with Rollers and Its Optimization Shinobu SAKAI*5, Yuichiro KITAGAWA, Ryo KANAI and Juhachi ODA Department of Human and Mechanical Systems Engineering,

More information

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325 社団法人人工知能学会 Japanese Society for Artificial Intelligence 人工知能学会研究会資料 JSAI Technical Report SIG-Challenge-B3 (5/5) RoboCup SSL Humanoid A Proposal and its Application of Color Voxel Server for RoboCup SSL

More information

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF a m

Vol.55 No (Jan. 2014) saccess 6 saccess 7 saccess 2. [3] p.33 * B (A) (B) (C) (D) (E) (F) *1 [3], [4] Web PDF   a m Vol.55 No.1 2 15 (Jan. 2014) 1,a) 2,3,b) 4,3,c) 3,d) 2013 3 18, 2013 10 9 saccess 1 1 saccess saccess Design and Implementation of an Online Tool for Database Education Hiroyuki Nagataki 1,a) Yoshiaki

More information

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2

1 Fig. 1 Extraction of motion,.,,, 4,,, 3., 1, 2. 2.,. CHLAC,. 2.1,. (256 ).,., CHLAC. CHLAC, HLAC. 2.3 (HLAC ) r,.,. HLAC. N. 2 HLAC Fig. 2 CHLAC 1 2 3 3,. (CHLAC), 1).,.,, CHLAC,.,. Suspicious Behavior Detection based on CHLAC Method Hideaki Imanishi, 1 Toyohiro Hayashi, 2 Shuichi Enokida 3 and Toshiaki Ejima 3 We have proposed a method for

More information

先進的計算基盤システムシンポジウム SACSIS 2011 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/25 Combining Bimode Bimode-Plus Agree Hybr

先進的計算基盤システムシンポジウム SACSIS 2011 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/25 Combining Bimode Bimode-Plus Agree Hybr Combining Bimode Bimode-Plus Agree Hybrid 5 SPECint2000 9% A Branch Predictor Using Miss-prediction Bias Lin Meng and Shigeru Oyanagi Modern processors exploit instruction level parallelism by deeper pipeline

More information

58 10

58 10 57 Multi-channel MAC Protocol with Multi-busytone in Ad-hoc Networks Masatoshi Fukushima*, Ushio Yamamoto* and Yoshikuni Onozato* Abstract Multi-channel MAC protocols for wireless ad hoc networks have

More information

IPSJ SIG Technical Report Vol.2016-ARC-221 No /8/9 GC 1 1 GC GC GC GC DalvikVM GC 12.4% 5.7% 1. Garbage Collection: GC GC Java GC GC GC GC Dalv

IPSJ SIG Technical Report Vol.2016-ARC-221 No /8/9 GC 1 1 GC GC GC GC DalvikVM GC 12.4% 5.7% 1. Garbage Collection: GC GC Java GC GC GC GC Dalv GC 1 1 GC GC GC GC DalvikVM GC 12.4% 5.7% 1. Garbage Collection: GC GC Java GC GC GC GC DalvikVM[1] GC 1 Nagoya Institute of Technology GC GC 2. GC GC 2.1 GC 1 c 2016 Information Processing Society of

More information

MAC root Linux 1 OS Linux 2.6 Linux Security Modules LSM [1] Security-Enhanced Linux SELinux [2] AppArmor[3] OS OS OS LSM LSM Performance Monitor LSMP

MAC root Linux 1 OS Linux 2.6 Linux Security Modules LSM [1] Security-Enhanced Linux SELinux [2] AppArmor[3] OS OS OS LSM LSM Performance Monitor LSMP LSM OS 700-8530 3 1 1 matsuda@swlab.it.okayama-u.ac.jp tabata@cs.okayama-u.ac.jp 242-8502 1623 14 munetoh@jp.ibm.com OS Linux 2.6 Linux Security Modules LSM LSM Linux 4 OS OS LSM An Evaluation of Performance

More information

DTN DTN DTN DTN i

DTN DTN DTN DTN i 28 DTN Proposal of the Aggregation Message Ferrying for Evacuee s Data Delivery in DTN Environment 1170302 2017 2 28 DTN DTN DTN DTN i Abstract Proposal of the Aggregation Message Ferrying for Evacuee

More information

29 jjencode JavaScript

29 jjencode JavaScript Kochi University of Technology Aca Title jjencode で難読化された JavaScript の検知 Author(s) 中村, 弘亮 Citation Date of 2018-03 issue URL http://hdl.handle.net/10173/1975 Rights Text version author Kochi, JAPAN http://kutarr.lib.kochi-tech.ac.jp/dspa

More information

九州大学学術情報リポジトリ Kyushu University Institutional Repository 看護師の勤務体制による睡眠実態についての調査 岩下, 智香九州大学医学部保健学科看護学専攻 出版情報 : 九州大学医学部保健学

九州大学学術情報リポジトリ Kyushu University Institutional Repository 看護師の勤務体制による睡眠実態についての調査 岩下, 智香九州大学医学部保健学科看護学専攻   出版情報 : 九州大学医学部保健学 九州大学学術情報リポジトリ Kyushu University Institutional Repository 看護師の勤務体制による睡眠実態についての調査 岩下, 智香九州大学医学部保健学科看護学専攻 https://doi.org/10.15017/4055 出版情報 : 九州大学医学部保健学科紀要. 8, pp.59-68, 2007-03-12. 九州大学医学部保健学科バージョン : 権利関係

More information

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット

第62巻 第1号 平成24年4月/石こうを用いた木材ペレット Bulletin of Japan Association for Fire Science and Engineering Vol. 62. No. 1 (2012) Development of Two-Dimensional Simple Simulation Model and Evaluation of Discharge Ability for Water Discharge of Firefighting

More information

08-特集04.indd

08-特集04.indd 5 2 Journal of Multimedia Aided Education Research 2008, Vol. 5, No. 2, 3543 ICT ICT ICT 2 ICT ICT 1100 2008 ICT ICT 2007 ICT ICT ICT ICT IPtalk2008 2006 LAN TCP/IP 1 35 5 22008 1 Enter 1 IPtalk 2 2 2IPtalk

More information

DEIM Forum 2009 B4-6, Str

DEIM Forum 2009 B4-6, Str DEIM Forum 2009 B4-6, 305 8573 1 1 1 152 8550 2 12 1 E-mail: tttakuro@kde.cs.tsukuba.ac.jp, watanabe@de.cs.titech.ac.jp, kitagawa@cs.tsukuba.ac.jp StreamSpinner PC PC StreamSpinner Development of Data

More information

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2

3D UbiCode (Ubiquitous+Code) RFID ResBe (Remote entertainment space Behavior evaluation) 2 UbiCode Fig. 2 UbiCode 2. UbiCode 2. 1 UbiCode UbiCode 2. 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS HCG HUMAN COMMUNICATION GROUP SYMPOSIUM. UbiCode 243 0292 1030 E-mail: {ubicode,koide}@shirai.la, {otsuka,shirai}@ic.kanagawa-it.ac.jp

More information

Newman

Newman 32 2001 pp.237 297 ISSN 0287 6817 Toshihiko AMEMIYA Kenichi NAITOH Abstract 237 students were asked to evaluate and propose a reform plan of their university campus as a course report of environmental

More information

BOK body of knowledge, BOK BOK BOK 1 CC2001 computing curricula 2001 [1] BOK IT BOK 2008 ITBOK [2] social infomatics SI BOK BOK BOK WikiBOK BO

BOK body of knowledge, BOK BOK BOK 1 CC2001 computing curricula 2001 [1] BOK IT BOK 2008 ITBOK [2] social infomatics SI BOK BOK BOK WikiBOK BO DEIM Forum 2012 C8-5 WikiBOK 252 5258 5 10 1 E-mail: shunsuke.shibuya@gmail.com, {kaz,masunaga}@si.aoyama.ac.jp, {yabuki,sakuta}@it.aoyama.ac.jp Body Of Knowledge, BOK BOK BOK BOK BOK, BOK Abstract Extention

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

13金子敬一.indd

13金子敬一.indd 1 1 Journal of Multimedia Aided Education Research, 2004, No. 1, 115122 ED21 1 2 2 WWW 158 34 Decker 3 ED21 ED21 1 ED21 1 CS 1 2 ED213 4 5 ED21 ED21 ED21 ED9900 9 EL21 EE21 EC21 ED9900 JavaApplet JavaApplet

More information

Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Social Networking

Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Social Networking 23 An attribute expression of the virtual window system communicators 1120265 2012 3 1 Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual Window System Virtual

More information

kut-paper-template.dvi

kut-paper-template.dvi 14 Application of Automatic Text Summarization for Question Answering System 1030260 2003 2 12 Prassie Posum Prassie Prassie i Abstract Application of Automatic Text Summarization for Question Answering

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

News_Letter_No35(Ver.2).p65

News_Letter_No35(Ver.2).p65 OCIAL AFETY CIENCE No.35 2000.8 from Institute of Social Safety Science 10 11 17 11 19 17 181819 18 420-0042 5-9-1 JR 25 Tel 054-251-7100-1 - 10 10 I (1) 12 11 17 19 (2) 5-9-1 JR 25 II (1) 12 9 18 (2)

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of

TCP/IP IEEE Bluetooth LAN TCP TCP BEC FEC M T M R M T 2. 2 [5] AODV [4]DSR [3] 1 MS 100m 5 /100m 2 MD 2 c 2009 Information Processing Society of IEEE802.11 [1]Bluetooth [2] 1 1 (1) [6] Ack (Ack) BEC FEC (BEC) BEC FEC 100 20 BEC FEC 6.19% 14.1% High Throughput and Highly Reliable Transmission in MANET Masaaki Kosugi 1 and Hiroaki Higaki 1 1. LAN

More information

Key Words: probabilisic scenario earthquake, active fault data, Great Hanshin earthquake, low frequency-high impact earthquake motion, seismic hazard map 3) Cornell, C. A.: Engineering Seismic

More information

I

I 九州大学学術情報リポジトリ Kyushu University Institutional Repository 熊本県水俣市の限界集落における耕作放棄地の拡大とその要因 寺床, 幸雄九州大学大学院人文科学府 : 博士後期課程 : 農村 農業地理学 Teratoko, Yukio http://hdl.handle.net/2324/20036 出版情報 : 地理学評論. 82 (6), pp.588-603,

More information

卒業論文2.dvi

卒業論文2.dvi 15 GUI A study on the system to transfer a GUI sub-picture to the enlarging viewer for operational support 1040270 2004 2 27 GUI PC PC GUI Graphical User Interface PC GUI GUI PC GUI PC PC GUI i Abstract

More information

IPSJ SIG Technical Report Vol.2012-CG-148 No /8/29 3DCG 1,a) On rigid body animation taking into account the 3D computer graphics came

IPSJ SIG Technical Report Vol.2012-CG-148 No /8/29 3DCG 1,a) On rigid body animation taking into account the 3D computer graphics came 3DCG 1,a) 2 2 2 2 3 On rigid body animation taking into account the 3D computer graphics camera viewpoint Abstract: In using computer graphics for making games or motion pictures, physics simulation is

More information

IPSJ SIG Technical Report Vol.2013-GN-86 No.35 Vol.2013-CDS-6 No /1/17 1,a) 2,b) (1) (2) (3) Development of Mobile Multilingual Medical

IPSJ SIG Technical Report Vol.2013-GN-86 No.35 Vol.2013-CDS-6 No /1/17 1,a) 2,b) (1) (2) (3) Development of Mobile Multilingual Medical 1,a) 2,b) 3 24 3 (1) (2) (3) Development of Mobile Multilingual Medical Communication Support System and Its Introduction for Medical Field Shun Ozaki 1,a) Takashi Yoshino 2,b) Aguri Shigeno 3 Abstract:

More information

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst

( ) [1] [4] ( ) 2. [5] [6] Piano Tutor[7] [1], [2], [8], [9] Radiobaton[10] Two Finger Piano[11] Coloring-in Piano[12] ism[13] MIDI MIDI 1 Fig. 1 Syst 情報処理学会インタラクション 2015 IPSJ Interaction 2015 15INT014 2015/3/7 1,a) 1,b) 1,c) Design and Implementation of a Piano Learning Support System Considering Motivation Fukuya Yuto 1,a) Takegawa Yoshinari 1,b) Yanagi

More information

2) TA Hercules CAA 5 [6], [7] CAA BOSS [8] 2. C II C. ( 1 ) C. ( 2 ). ( 3 ) 100. ( 4 ) () HTML NFS Hercules ( )

2) TA Hercules CAA 5 [6], [7] CAA BOSS [8] 2. C II C. ( 1 ) C. ( 2 ). ( 3 ) 100. ( 4 ) () HTML NFS Hercules ( ) 1,a) 2 4 WC C WC C Grading Student programs for visualizing progress in classroom Naito Hiroshi 1,a) Saito Takashi 2 Abstract: To grade student programs in Computer-Aided Assessment system, we propose

More information

The 18th Game Programming Workshop ,a) 1,b) 1,c) 2,d) 1,e) 1,f) Adapting One-Player Mahjong Players to Four-Player Mahjong

The 18th Game Programming Workshop ,a) 1,b) 1,c) 2,d) 1,e) 1,f) Adapting One-Player Mahjong Players to Four-Player Mahjong 1 4 1,a) 1,b) 1,c) 2,d) 1,e) 1,f) 4 1 1 4 1 4 4 1 4 Adapting One-Player Mahjong Players to Four-Player Mahjong by Recognizing Folding Situations Naoki Mizukami 1,a) Ryotaro Nakahari 1,b) Akira Ura 1,c)

More information

Kochi University of Technology Aca Title 省 電 力 セルフタイム 回 路 に 関 する 研 究 Author(s) 岩 田, 誠, 宮 城, 桂, 三 宮, 秀 次, 西 川, 博 昭 Citation 高 知 工 科 大 学 紀 要, 10(1): 95-102 Date of 2013-07-20 issue URL http://hdl.handle.net/10173/1082

More information

Vol. 23 No. 4 Oct. 2006 37 2 Kitchen of the Future 1 Kitchen of the Future 1 1 Kitchen of the Future LCD [7], [8] (Kitchen of the Future ) WWW [7], [3

Vol. 23 No. 4 Oct. 2006 37 2 Kitchen of the Future 1 Kitchen of the Future 1 1 Kitchen of the Future LCD [7], [8] (Kitchen of the Future ) WWW [7], [3 36 Kitchen of the Future: Kitchen of the Future Kitchen of the Future A kitchen is a place of food production, education, and communication. As it is more active place than other parts of a house, there

More information

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2

1. HNS [1] HNS HNS HNS [2] HNS [3] [4] [5] HNS 16ch SNR [6] 1 16ch 1 3 SNR [4] [5] 2. 2 HNS API HNS CS27-HNS [1] (SOA) [7] API Web 2 THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 657 8531 1 1 E-mail: {soda,matsubara}@ws.cs.kobe-u.ac.jp, {masa-n,shinsuke,shin,yosimoto}@cs.kobe-u.ac.jp,

More information

untitled

untitled () No.4 2006 pp.50-61 1 1 ( 020-0193 152-52) 2004 2004 11 737 364 Yahoo! 35%2004 10% 39% 12%2003 15% 21% 2004 : Victoria(2001) 1989 Loma Prieta ( 2004) (disaster subculture)( 19972000 ) (2004)1997 7 10

More information

12 DCT A Data-Driven Implementation of Shape Adaptive DCT

12 DCT A Data-Driven Implementation of Shape Adaptive DCT 12 DCT A Data-Driven Implementation of Shape Adaptive DCT 1010431 2001 2 5 DCT MPEG H261,H263 LSI DDMP [1]DDMP MPEG4 DDMP MPEG4 SA-DCT SA-DCT DCT SA-DCT DDMP SA-DCT MPEG4, DDMP,, SA-DCT,, ο i Abstract

More information

The Journal of the Japan Academy of Nursing Administration and Policies Vol 7, No 2, pp 19 _ 30, 2004 Survey on Counseling Services Performed by Nursi

The Journal of the Japan Academy of Nursing Administration and Policies Vol 7, No 2, pp 19 _ 30, 2004 Survey on Counseling Services Performed by Nursi The Journal of the Japan Academy of Nursing Administration and Policies Vol 7, No 2, pp 19 _ 30, 2004 Survey on Counseling Services Performed by Nursing Professionals for Diabetic Outpatients Not Using

More information

The 15th Game Programming Workshop 2010 Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard Magic Bitboard Magic Bitbo

The 15th Game Programming Workshop 2010 Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard Magic Bitboard Magic Bitbo Magic Bitboard Magic Bitboard Bitboard Magic Bitboard Bitboard Magic Bitboard 64 81 Magic Bitboard Magic Bitboard Bonanza Proposal and Implementation of Magic Bitboards in Shogi Issei Yamamoto, Shogo Takeuchi,

More information

1,a) 1,b) TUBSTAP TUBSTAP Offering New Benchmark Maps for Turn Based Strategy Game Tomihiro Kimura 1,a) Kokolo Ikeda 1,b) Abstract: Tsume-shogi and Ts

1,a) 1,b) TUBSTAP TUBSTAP Offering New Benchmark Maps for Turn Based Strategy Game Tomihiro Kimura 1,a) Kokolo Ikeda 1,b) Abstract: Tsume-shogi and Ts JAIST Reposi https://dspace.j Title ターン制戦略ゲームにおけるベンチマークマップの提 案 Author(s) 木村, 富宏 ; 池田, 心 Citation ゲームプログラミングワークショップ 2016 論文集, 2016: 36-43 Issue Date 2016-10-28 Type Conference Paper Text version author

More information

IPSJ SIG Technical Report Vol.2015-ARC-215 No.13 Vol.2015-OS-133 No /5/ ,a) % 13.9% 1. Transactional Memory: TM [1] TM TM 1 Nag

IPSJ SIG Technical Report Vol.2015-ARC-215 No.13 Vol.2015-OS-133 No /5/ ,a) % 13.9% 1. Transactional Memory: TM [1] TM TM 1 Nag 1 1 1 1,a) 16 67.2% 13.9% 1. Transactional Memory: TM [1] TM TM 1 Nagoya Institute of Technology, Nagoya, Aichi, 466-8555, Japan a) tsumura@computer.org Hardware Transactional Memory: HTM HTM Read Write

More information

Memoirs Dep. of Health Scis. Sch. of Med Kyushu Univ., 2006, vol.7, Kyoko WatanabeHarumi ShinkodaEtsuko Kitahara Key Words

Memoirs Dep. of Health Scis. Sch. of Med Kyushu Univ., 2006, vol.7, Kyoko WatanabeHarumi ShinkodaEtsuko Kitahara Key Words 九州大学学術情報リポジトリ Kyushu University Institutional Repository A Report of Nursing Students' Evaluations and Problemson Maternity Nursing Practice : Based on the Analysis of Reports after Baby Bath Practice

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

Tsuken Technical Information 1

Tsuken Technical Information 1 March 2004 Vol.6 Tsuken Technical Information 1 Since microprocessors (MPUs) first appeared in the 1970s, they have developed at a remarkable pace, and now found in a huge range of devices that we use

More information

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC

2. CABAC CABAC CABAC 1 1 CABAC Figure 1 Overview of CABAC 2 DCT 2 0/ /1 CABAC [3] 3. 2 値化部 コンテキスト計算部 2 値算術符号化部 CABAC CABAC H.264 CABAC 1 1 1 1 1 2, CABAC(Context-based Adaptive Binary Arithmetic Coding) H.264, CABAC, A Parallelization Technology of H.264 CABAC For Real Time Encoder of Moving Picture YUSUKE YATABE 1 HIRONORI

More information

<31322D899C8CA982D982A95F985F95B65F2E696E6464>

<31322D899C8CA982D982A95F985F95B65F2E696E6464> SUMMARY Japan is one of the most earthquakeprone country in the world, and has repeatedly experienced serious major damages. No matter how serious the impact of earthquake disasters, each and every time,

More information

) ,

) , Vol. 2, 1 17, 2013 1986 A study about the development of the basic policy in the field of reform of China s sports system 1986 HaoWen Wu Abstract: This study focuses on the development of the basic policy

More information

人工知能学会研究会資料 SIG-KBS-B Analysis of Voting Behavior in One Night Werewolf 1 2 Ema Nishizaki 1 Tomonobu Ozaki Graduate School of Integrated B

人工知能学会研究会資料 SIG-KBS-B Analysis of Voting Behavior in One Night Werewolf 1 2 Ema Nishizaki 1 Tomonobu Ozaki Graduate School of Integrated B 人工知能学会研究会資料 SIG-KBS-B508-09 Analysis of Voting Behavior in One Night Werewolf 1 2 Ema Nishizaki 1 Tomonobu Ozaki 2 1 1 Graduate School of Integrated Basic Sciences, Nihon University 2 2 College of Humanities

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i

SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i 20 SOM Development of Syllabus Vsualization System using Spherical Self-Organizing Maps 1090366 2009 3 5 SOM SOM(Self-Organizing Maps) SOM SOM SOM SOM SOM SOM i Abstract Development of Syllabus Vsualization

More information

/ SimpleScalar 4.0 CPU SimpleScalar/ARM 11) 1 2 MiBench Version ) basicmath B-4Way B-4WAY basicmath Fi

/ SimpleScalar 4.0 CPU SimpleScalar/ARM 11) 1 2 MiBench Version ) basicmath B-4Way B-4WAY basicmath Fi Vol. 52 No. 12 3160 3171 (Dec. 2011) 1 1 / Cache Fill Control Method for Embedded Processors Tomoaki Ukezono 1 and Kiyofumi Tanaka 1 Recently, the number of tasks and data sets that are dealt with in embedded

More information

The Indirect Support to Faculty Advisers of die Individual Learning Support System for Underachieving Student The Indirect Support to Faculty Advisers of the Individual Learning Support System for Underachieving

More information

九州大学学術情報リポジトリ Kyushu University Institutional Repository 自己血糖測定演習を通した看護学生の学習過程 鐵井, 千嘉九州大学医学部保健学科看護学専攻 長家, 智子九州大学医学部保健学科看護学専攻

九州大学学術情報リポジトリ Kyushu University Institutional Repository 自己血糖測定演習を通した看護学生の学習過程 鐵井, 千嘉九州大学医学部保健学科看護学専攻 長家, 智子九州大学医学部保健学科看護学専攻 九州大学学術情報リポジトリ Kyushu University Institutional Repository 自己血糖測定演習を通した看護学生の学習過程 鐵井, 千嘉九州大学医学部保健学科看護学専攻 長家, 智子九州大学医学部保健学科看護学専攻 https://doi.org/10.15017/4051 出版情報 : 九州大学医学部保健学科紀要. 8, pp.33-42, 2007-03-12.

More information

橡自動車~1.PDF

橡自動車~1.PDF CIRJE-J-34 2000 10 Abstract In this paper, we examine the diversity of transaction patterns observed between a single pair of one automaker and one auto parts supplier in Japan. Assumed reasonably that

More information

[4] ACP (Advanced Communication Primitives) [1] ACP ACP [2] ACP Tofu UDP [3] HPC InfiniBand InfiniBand ACP 2 ACP, 3 InfiniBand ACP 4 5 ACP 2. ACP ACP

[4] ACP (Advanced Communication Primitives) [1] ACP ACP [2] ACP Tofu UDP [3] HPC InfiniBand InfiniBand ACP 2 ACP, 3 InfiniBand ACP 4 5 ACP 2. ACP ACP InfiniBand ACP 1,5,a) 1,5,b) 2,5 1,5 4,5 3,5 2,5 ACE (Advanced Communication for Exa) ACP (Advanced Communication Primitives) HPC InfiniBand ACP InfiniBand ACP ACP InfiniBand Open MPI 20% InfiniBand Implementation

More information

経済論集 44‐1(よこ)/2.李

経済論集 44‐1(よこ)/2.李 PC PC IT PC IT ! 1 The Archimedes Project 2 1992 TAS Total Access System 3 itaskintelligent Total Access System 4 Ho alauna 5 1 PC IT IT Archimedes at StanfordTASTotal Access System itaskintelligent Total

More information

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei

IPSJ SIG Technical Report Vol.2015-ARC-215 No.7 Vol.2015-OS-133 No /5/26 Just-In-Time PG 1,a) 1, Just-In-Time VM Geyser Dalvik VM Caffei Just-In-Time PG 1,a) 1, 1 2 1 1 Just-In-Time VM Geyser Dalvik VM CaffeineMark SPECJVM 17% 1. LSI [1][2][3][4][5] (PG) Geyser [6][7] PG ON/OFF OS PG PG [7][8][9][10] Java Just-In-Time (JIT PG [10] JIT 1

More information

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx

Microsoft PowerPoint - ARC2009HashiguchiSlides.pptx 3 次元 DRAM プロセッサ積層実装を 対象としたオンチップ メモリ アーキテクチャの提案と評価 橋口慎哉 小野貴継 ( 現 ) 井上弘士 村上和彰 九州大学大学院システム情報科学府 九州大学大学院システム情報科学研究院 発表手順 研究背景 研究目的 ハイブリッド キャッシュ アーキテクチャ 評価実験 まとめと今後の課題 2 3 次元実装技術 研究背景 グローバル配線長の削減 チップ面積縮小 異なるプロセスを経て製造されたダイ同士の積層

More information

HTM RaR HTM 2. 2) 3) HTM 2 3 Yoo 4) HTM Adaptive Transaction Scheduling Akpinar 5) HTM Gaona 6) HTM 3. Read-after-Read HTM 3.1 Read-after-Read Read Wr

HTM RaR HTM 2. 2) 3) HTM 2 3 Yoo 4) HTM Adaptive Transaction Scheduling Akpinar 5) HTM Gaona 6) HTM 3. Read-after-Read HTM 3.1 Read-after-Read Read Wr 1 1, 1 1 1 1 Readafter-Read Read-after-Read 66.9% A Speed-Up Technique for Hardware Transactional Memories by Reducing Concurrency Considering Conflicting Addresses Koshiro Hashimoto, 1 Masamichi Eto,

More information

A Study of Effective Application of CG Multimedia Contents for Help of Understandings of the Working Principles of the Internal Combustion Engine (The

A Study of Effective Application of CG Multimedia Contents for Help of Understandings of the Working Principles of the Internal Combustion Engine (The A Study of Effective Application of CG Multimedia Contents for Help of Understandings of the Working Principles of the Internal Combustion Engine (The Learning Effects of the Animation and the e-learning

More information

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe

IPSJ SIG Technical Report Vol.2009-DPS-141 No.20 Vol.2009-GN-73 No.20 Vol.2009-EIP-46 No /11/27 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Spe 1. MIERUKEN 1 2 MIERUKEN MIERUKEN MIERUKEN: Speech Visualization System Based on Augmented Reality Yuichiro Nagano 1 and Takashi Yoshino 2 As the spread of the Augmented Reality(AR) technology and service,

More information

TA3-4 31st Fuzzy System Symposium (Chofu, September 2-4, 2015) Interactive Recommendation System LeonardoKen Orihara, 1 Tomonori Hashiyama, 1

TA3-4 31st Fuzzy System Symposium (Chofu, September 2-4, 2015) Interactive Recommendation System LeonardoKen Orihara, 1 Tomonori Hashiyama, 1 Interactive Recommendation System 1 1 1 1 LeonardoKen Orihara, 1 Tomonori Hashiyama, 1 Shun ichi Tano 1 1 Graduate School of Information Systems, The University of Electro-Communications Abstract: The

More information

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya,

THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE {s-kasihr, wakamiya, THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS TECHNICAL REPORT OF IEICE. 565-0871 1 5 E-mail: {s-kasihr, wakamiya, murata}@ist.osaka-u.ac.jp PC 70% Design, implementation, and evaluation

More information

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s

(a) 1 (b) 3. Gilbert Pernicka[2] Treibitz Schechner[3] Narasimhan [4] Kim [5] Nayar [6] [7][8][9] 2. X X X [10] [11] L L t L s L = L t + L s 1 1 1, Extraction of Transmitted Light using Parallel High-frequency Illumination Kenichiro Tanaka 1 Yasuhiro Mukaigawa 1 Yasushi Yagi 1 Abstract: We propose a new sharpening method of transmitted scene

More information

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS

IPSJ SIG Technical Report Vol.2017-ARC-225 No.12 Vol.2017-SLDM-179 No.12 Vol.2017-EMB-44 No /3/9 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS 1 1 RTOS DefensiveZone DefensiveZone MPU RTOS RTOS OS Lightweight partitioning architecture for automotive systems Suzuki Takehito 1 Honda Shinya 1 Abstract: Partitioning using protection RTOS has high

More information

[2] 2. [3 5] 3D [6 8] Morishima [9] N n 24 24FPS k k = 1, 2,..., N i i = 1, 2,..., n Algorithm 1 N io user-specified number of inbetween omis

[2] 2. [3 5] 3D [6 8] Morishima [9] N n 24 24FPS k k = 1, 2,..., N i i = 1, 2,..., n Algorithm 1 N io user-specified number of inbetween omis 1,a) 2 2 2 1 2 3 24 Motion Frame Omission for Cartoon-like Effects Abstract: Limited animation is a hand-drawn animation style that holds each drawing for two or three successive frames to make up 24 frames

More information

WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i

WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i 26 WebRTC The data distribution system using browser cache sharing and WebRTC 1150361 2015/02/27 WebRTC P2P Web Proxy P2P Web Proxy WebRTC WebRTC Web, HTTP, WebRTC, P2P i Abstract The data distribution

More information

15 2002 42 3 5) 6) 2 (1855) 10 (1921) 7) 1 42 9 5 43 5 13 2 4 1 5 2 5 II 8) 75 9) 75 75 1 5 42 9 19 23 6 42 10 18 21 7 42 11 23 27 8 42 12 18 19 9 43

15 2002 42 3 5) 6) 2 (1855) 10 (1921) 7) 1 42 9 5 43 5 13 2 4 1 5 2 5 II 8) 75 9) 75 75 1 5 42 9 19 23 6 42 10 18 21 7 42 11 23 27 8 42 12 18 19 9 43 1) I IV I II III 19 (1944) 2) IV I II III 3) I II II II 42 I II 42 (1909) 44 (1911) 4) 42 (1909)5 41 15 2002 42 3 5) 6) 2 (1855) 10 (1921) 7) 1 42 9 5 43 5 13 2 4 1 5 2 5 II 8) 75 9) 75 75 1 5 42 9 19

More information

Web-ATMによる店舗向けトータルATMサービス

Web-ATMによる店舗向けトータルATMサービス Web- Total Service for Stores by Web- 土田敬之 阿久津和弘 山本耕司 高木晋作 川端正吾 幾見典計 あらまし Automated Teller Machine 2002 2004 Web- 2013 3 5 4400 Web- Abstract Automated teller machines (s) for financial institutions have

More information

IPSJ SIG Technical Report Vol.2011-EC-19 No /3/ ,.,., Peg-Scope Viewer,,.,,,,. Utilization of Watching Logs for Support of Multi-

IPSJ SIG Technical Report Vol.2011-EC-19 No /3/ ,.,., Peg-Scope Viewer,,.,,,,. Utilization of Watching Logs for Support of Multi- 1 3 5 4 1 2 1,.,., Peg-Scope Viewer,,.,,,,. Utilization of Watching Logs for Support of Multi-View Video Contents Kosuke Niwa, 1 Shogo Tokai, 3 Tetsuya Kawamoto, 5 Toshiaki Fujii, 4 Marutani Takafumi,

More information

Kochi University of Technology Aca 距 離 型 ファジィ 推 論 法 とその 医 療 福 祉 ロボットへの Title 応 用 Author(s) 王, 碩 玉 Citation 高 知 工 科 大 学 紀 要, 10(1): 19-28 Date of 2013-07-20 issue URL http://hdl.handle.net/10173/1073 Rights

More information

1 1 tf-idf tf-idf i

1 1 tf-idf tf-idf i 14 A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles 1055104 2003 1 31 1 1 tf-idf tf-idf i Abstract A Method of Article Retrieval Utilizing Characteristics in Newspaper Articles

More information

04-“²†XŒØ‘�“_-6.01

04-“²†XŒØ‘�“_-6.01 Bull. Natn. Sci. Mus., Tokyo, Ser. E, 28, pp. 31 47, December 22, 2005 169 0073 3 23 1 153 8904 4 6 1 270 2261 2 16 4 124 0014 2 10 15 523 0058 961 The Mechanism of Automatic Display for the Temporal Hour

More information

Vol.53 No (Mar. 2012) 1, 1,a) 1, 2 1 1, , Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a

Vol.53 No (Mar. 2012) 1, 1,a) 1, 2 1 1, , Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a 1, 1,a) 1, 2 1 1, 3 2 1 2011 6 17, 2011 12 16 Musical Interaction System Based on Stage Metaphor Seiko Myojin 1, 1,a) Kazuki Kanamori 1, 2 Mie Nakatani 1 Hirokazu Kato 1, 3 Sanae H. Wake 2 Shogo Nishida

More information

) ) 20 15) 16) ) 18) 19) (2) ha 8% 20) ) 22)

) ) 20 15) 16) ) 18) 19) (2) ha 8% 20) ) 22) 1 1 326-8558 268-1 E-mail: yanase.norihiko@v90.ashitech.ac.jp 1899 1975 1995 Key Words: land readjustment act, reconstruction project from disaster, compulsory implementation, replotting disposition 1.

More information

知能と情報, Vol.30, No.5, pp

知能と情報, Vol.30, No.5, pp 1, Adobe Illustrator Photoshop [1] [2] [3] Initital Values Assignment of Parameters Using Onomatopoieas for Interactive Design Tool Tsuyoshi NAKAMURA, Yuki SAWAMURA, Masayoshi KANOH, and Koji YAMADA Graduate

More information