Size: px
Start display at page:

Download ""

Transcription

1 26102

2 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES

3 (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI

4 ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1

5 GP-GPU A Parallel Logic Simulation Method using GP-GPU ~ ~ GPU GPU main(){ MemoryCopy(HostToDevice) kernel<<<blocks,threads>>> MemoryCopy(DeviceToHost) } GPU CPUHost GPUSM SM STEP1 6 7 L M N STEP CG1 CG2 CG3 3 GPUDevice SM SM 2 nsm GPU 2 STEP L M N SM1 SM2 SM3 GPU ModelSim GPU Geforce GTX480 PC Intel Core i GHz 100,000 - SEQSim - GPUSim - ModelSim SE 6.2e 3. SEQSim GPUSim5.1 GPUSim-M bit processor GPU* *Geforce TITAN cpu x cpu x cpu x sim

6 Research of Acceleration Method for Logic Simulation based on Parallel Algorithm () C SpecC Basic block JAXA - Elegant / Visual Spec SpecC 4 ( ) () (1) ()(2) ModelSim - :Elegant/Visual Spec(ver4.1.6) - :ARM946E-S(200MHz) * - ModelSim SE 6.2e() - PCIntel Core i GHz 10, sim vs sim(8) sim 8sim cpu x810.4 sim(8) vs sim sim cpu x84.6 (3210) (2) ANDOR

7 FPGA A Logic Simulation Method using FPGA ~ ~ FPGA FPGA ( ) 1. SIM Onchip SRAM Offchip RAM FPGA ModelSim FPGA50MHz 10,000 ModelSim SE 6.2e( PC Intel Core i GHz (FPGA_SIM256) (FPGA_SIM1) cpu x (FPGA_SIM256) cpu x BRAMFPGA offchipram 2~ LSI10

8 FPGA A Proposal of FPGA Microcontroller FPGA FPGA FPGA PC GUI FPGA PCFPGA FPGA110 FPGA2 2. PCFPGA PCFPGA2 FPGAPC FPGA 1. FPGA 8 : RS232C : LSI FPGA control-line : RAM(ISS) ISSFPGA Debug : PC 8 RAM/ MEM : debug_out : PC LED_out: 7SEGLED 3. GUI FPGA40MHz 5760!! FPGA 57601!! 1.ISS 2.FPGA 3.ISSFPGA

9 Compact Logic Optimization Method for Partial Logic Circuits GPU 1. ()

10 FPGA High Speed Encryption Unit based on FPGA for Mobile Terminal ~ ~ AES FPGA AES 128bit 41 PC RS232C 通信モジュール 1 PC BRAM FPGA AES 暗号化ユニット RS232C FPGA AES BRAM CLK 128bit AES Key data In data mc0 mc1 mc2 mc3 Add RoundKey Key Expansion mc00 mc01 mc02 mc03 mc10 mc11 mc12 mc13 mc20 mc21 mc22 mc23 mc30 mc31 mc32 mc33 Sub Bytes 2.AES GF2 GF3 GF1 GF1 GF1 GF2 GF3 GF1 GF1 GF1 GF2 GF3 GF3 GF1 GF1 GF2 3.MixColumns 2.4MB SpecC ARM9200MH 11.2sec ModelSim 100MHAES 0.19sec FPGA XOR XOR XOR XOR 4MixColumns GF Shift Rows Mix Columns 128bit 59 out

11 Proposal of Alert System using Medical Data Analysis DWH DWH ( ) : 1. DWH Y 1x1 2x2 nx n, n Y: () xn: 01 1 Y 1 e 1 ( 1 x 1 2 x 2 n x n ), n Y: xn: IF-THEN YES A 1 NO B NO 2 2. YES C 1 X1 X2 B2 X1 2 X2 B2A B1 S1 A B1 S2 1,2 : A, B, C : XML A X1 B1 = B1X1 X2 B2 = B2X2 A B1X1 B2X2 Y 1x1 2x2 S1 3. DWH Y n x n

12 GP-GPU Evaluation of parallel logic simulation performance using GP-GPU GP-GPU GPU 8 Graphics Processing Unit GPU GTX 480 GP-GPUGeneral Purpose GPU GPU GPU SM /SM SM GTX780, GPU 100,000 ModelSim SE 6.2e( PC Intel Core i GHz 2. Adder4 x 640GPU 10 Ldpc_enGT540MQuadro600 7GTX480, ,000 GPU GP-GPU ModelSim GTX780 GTX480

13 NTT NTT NEC

FIT2013( 第 12 回情報科学技術フォーラム ) I-032 Acceleration of Adaptive Bilateral Filter base on Spatial Decomposition and Symmetry of Weights 1. Taiki Makishi Ch

FIT2013( 第 12 回情報科学技術フォーラム ) I-032 Acceleration of Adaptive Bilateral Filter base on Spatial Decomposition and Symmetry of Weights 1. Taiki Makishi Ch I-032 Acceleration of Adaptive Bilateral Filter base on Spatial Decomposition and Symmetry of Weights 1. Taiki Makishi Chikatoshi Yamada Shuichi Ichikawa Gaussian Filter GF GF Bilateral Filter BF CG [1]

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 計算機アーキテクチャ第 11 回 マルチプロセッサ 本資料は授業用です 無断で転載することを禁じます 名古屋大学 大学院情報科学研究科 准教授加藤真平 デスクトップ ジョブレベル並列性 スーパーコンピュータ 並列処理プログラム プログラムの並列化 for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } プログラムの並列化 x[0] = a[0] + b[0];

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

main.dvi

main.dvi PC 1 1 [1][2] [3][4] ( ) GPU(Graphics Processing Unit) GPU PC GPU PC ( 2 GPU ) GPU Harris Corner Detector[5] CPU ( ) ( ) CPU GPU 2 3 GPU 4 5 6 7 1 toyohiro@isc.kyutech.ac.jp 45 2 ( ) CPU ( ) ( ) () 2.1

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

07-二村幸孝・出口大輔.indd

07-二村幸孝・出口大輔.indd GPU Graphics Processing Units HPC High Performance Computing GPU GPGPU General-Purpose computation on GPU CPU GPU GPU *1 Intel Quad-Core Xeon E5472 3.0 GHz 2 6 MB L2 cache 1600 MHz FSB 80 GFlops 1 nvidia

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops ボードの概要 Control processor (FPGA by Altera) GRAPE-MP chip[nextreme

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

GPU GPU CPU CPU CPU GPU GPU N N CPU ( ) 1 GPU CPU GPU 2D 3D CPU GPU GPU GPGPU GPGPU 2 nvidia GPU CUDA 3 GPU 3.1 GPU Core 1

GPU GPU CPU CPU CPU GPU GPU N N CPU ( ) 1 GPU CPU GPU 2D 3D CPU GPU GPU GPGPU GPGPU 2 nvidia GPU CUDA 3 GPU 3.1 GPU Core 1 GPU 4 2010 8 28 1 GPU CPU CPU CPU GPU GPU N N CPU ( ) 1 GPU CPU GPU 2D 3D CPU GPU GPU GPGPU GPGPU 2 nvidia GPU CUDA 3 GPU 3.1 GPU Core 1 Register & Shared Memory ( ) CPU CPU(Intel Core i7 965) GPU(Tesla

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

,., ping - RTT,., [2],RTT TCP [3] [4] Android.Android,.,,. LAN ACK. [5].. 3., 1.,. 3 AI.,,Amazon, (NN),, 1..NN,, (RNN) RNN

,., ping - RTT,., [2],RTT TCP [3] [4] Android.Android,.,,. LAN ACK. [5].. 3., 1.,. 3 AI.,,Amazon, (NN),, 1..NN,, (RNN) RNN DEIM Forum 2018 F1-1 LAN LSTM 112 8610 2-1-1 163-8677 1-24-2 E-mail: aoi@ogl.is.ocha.ac.jp, oguchi@is.ocha.ac.jp, sane@cc.kogakuin.ac.jp,,.,,., LAN,. Android LAN,. LSTM LAN., LSTM, Analysis of Packet of

More information

IPSJ SIG Technical Report Vol.2014-CG-155 No /6/28 1,a) 1,2,3 1 3,4 CG An Interpolation Method of Different Flow Fields using Polar Inter

IPSJ SIG Technical Report Vol.2014-CG-155 No /6/28 1,a) 1,2,3 1 3,4 CG An Interpolation Method of Different Flow Fields using Polar Inter ,a),2,3 3,4 CG 2 2 2 An Interpolation Method of Different Flow Fields using Polar Interpolation Syuhei Sato,a) Yoshinori Dobashi,2,3 Tsuyoshi Yamamoto Tomoyuki Nishita 3,4 Abstract: Recently, realistic

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for

Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for Introduction Purpose This training course demonstrates the use of the High-performance Embedded Workshop (HEW), a key tool for developing software for embedded systems that use microcontrollers (MCUs)

More information

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D

1 3DCG [2] 3DCG CG 3DCG [3] 3DCG 3 3 API 2 3DCG 3 (1) Saito [4] (a) 1920x1080 (b) 1280x720 (c) 640x360 (d) 320x G-Buffer Decaudin[5] G-Buffer D 3DCG 1) ( ) 2) 2) 1) 2) Real-Time Line Drawing Using Image Processing and Deforming Process Together in 3DCG Takeshi Okuya 1) Katsuaki Tanaka 2) Shigekazu Sakai 2) 1) Department of Intermedia Art and Science,

More information

次世代スーパーコンピュータのシステム構成案について

次世代スーパーコンピュータのシステム構成案について 6 19 4 27 1. 2. 3. 3.1 3.2 A 3.3 B 4. 5. 2007/4/27 4 1 1. 2007/4/27 4 2 NEC NHF2 18 9 19 19 2 28 10PFLOPS2.5PB 30MW 3,200 18 12 12 SimFold, GAMESS, Modylas, RSDFT, NICAM, LatticeQCD, LANS HPL, NPB-FT 19

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

Microsoft PowerPoint - GPU_computing_2013_01.pptx

Microsoft PowerPoint - GPU_computing_2013_01.pptx GPU コンピューティン No.1 導入 東京工業大学 学術国際情報センター 青木尊之 1 GPU とは 2 GPGPU (General-purpose computing on graphics processing units) GPU を画像処理以外の一般的計算に使う GPU の魅力 高性能 : ハイエンド GPU はピーク 4 TFLOPS 超 手軽さ : 普通の PC にも装着できる 低価格

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

Express5800/110Ee (2002/01/22)

Express5800/110Ee (2002/01/22) (2002/01/22) 1. N8100-691 ( /1BG(256)) CPU L1 L2 CD-ROM LAN OS Pentium 1.0BGHz 1 32KB 256KB 128MB 1.5GB ( IDE 60GB 3( IDE 2)) ( SCSI 18.1GB 3) 14 40 100BASE-TX 10BASE-T 640 480 1280 1024(VRAM 8MB) 2. CD-ROM

More information

untitled

untitled A = QΛQ T A n n Λ Q A = XΛX 1 A n n Λ X GPGPU A 3 T Q T AQ = T (Q: ) T u i = λ i u i T {λ i } {u i } QR MR 3 v i = Q u i A {v i } A n = 9000 Quad Core Xeon 2 LAPACK (4/3) n 3 O(n 2 ) O(n 3 ) A {v i }

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

Express5800/120Lc

Express5800/120Lc Workgroup/Department 1. N8500-371 CPU L1 L2 CD-ROM LAN OS OS (/450(512)) N8500-372 N8500-373 N8500-400 (/450(512)-25AWS) (/500(512)) (/450(512)-25AWE) StarOffice Exchange Pentium450MHz1 2 ( 72GB) 32KB

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-

Express5800/110Ee Pentium 1. Express5800/110Ee N N Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD- Express5800/110Ee Pentium 1. Express5800/110Ee N8500-654 N8500-655 Express5800/110Ee Express5800/110Ee ( /800EB(256)) ( /800EB(256) 20W) CPU L1 L2 CD-ROM LAN Windows NT Server 4.0 Pentium 800EBMHz 1 (

More information

untitled

untitled A = QΛQ T A n n Λ Q A = XΛX 1 A n n Λ X GPGPU A 3 T Q T AQ = T (Q: ) T u i = λ i u i T {λ i } {u i } QR MR 3 v i = Q u i A {v i } A n = 9000 Quad Core Xeon 2 LAPACK (4/3) n 3 O(n 2 ) O(n 3 ) A {v i }

More information

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing

FINAL PROGRAM 22th Annual Workshop SWoPP / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing FINAL PROGRAM 22th Annual Workshop SWoPP 2009 2009 / / 2009 Sendai Summer United Workshops on Parallel, Distributed, and Cooperative Processing 2009 8 4 ( ) 8 6 ( ) 981-0933 1-2-45 http://www.forestsendai.jp

More information

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) (

Express5800/120Lf 1. Express5800/120Lf N N N Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( (2001/11/13) Express5800/120Lf 1. Express5800/120Lf N8100-748 N8100-751 N8100-754 Express5800/120Lf Express5800/120Lf Express5800/120Lf ( /1BG(256)) ( /1BG(256)) ( /1.26G(512)) CPU Hot-Plug Pentium (1.0BGHz)

More information

Express5800/140Ma

Express5800/140Ma Pentium Xeon Express 1. N8500-479 N8500-480 N8500-489,-490 N8500-491,-492 (-X/550(512)-25AWS) (-X/550(1)-25AWS) (-X/550(512)) (-X/550(1)) (-X/550(512)-25AWE) (-X/550(1)-25AWE) CPU L1 Pentium Xeon 550MHz1

More information

imai@eng.kagawa-u.ac.jp No1 No2 OS Wintel Intel x86 CPU No3 No4 8bit=2 8 =256(Byte) 16bit=2 16 =65,536(Byte)=64KB= 6 5 32bit=2 32 =4,294,967,296(Byte)=4GB= 43 64bit=2 64 =18,446,744,073,709,551,615(Byte)=16EB

More information

2nd-1.dvi

2nd-1.dvi 7 ZEAL : OptiPlex GX 7 ZEAL ZEAL-Z ZEAL-C ZEAL-C CPU Memory OS Intel Pentium (3GHz) GB Windows Vista Business (-bit) ZEAL Microsoft Windows Mobile 5. ZEAL Bluetooth 3 ZEAL 5 Microsoft Visual C# 5 66 OS

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

スパコンに通じる並列プログラミングの基礎

スパコンに通じる並列プログラミングの基礎 2016.06.06 2016.06.06 1 / 60 2016.06.06 2 / 60 Windows, Mac Unix 0444-J 2016.06.06 3 / 60 Part I Unix GUI CUI: Unix, Windows, Mac OS Part II 0444-J 2016.06.06 4 / 60 ( : ) 6 6 ( ) 6 10 6 16 SX-ACE 6 17

More information

1 GPU GPGPU GPU CPU 2 GPU 2007 NVIDIA GPGPU CUDA[3] GPGPU CUDA GPGPU CUDA GPGPU GPU GPU GPU Graphics Processing Unit LSI LSI CPU ( ) DRAM GPU LSI GPU

1 GPU GPGPU GPU CPU 2 GPU 2007 NVIDIA GPGPU CUDA[3] GPGPU CUDA GPGPU CUDA GPGPU GPU GPU GPU Graphics Processing Unit LSI LSI CPU ( ) DRAM GPU LSI GPU GPGPU (I) GPU GPGPU 1 GPU(Graphics Processing Unit) GPU GPGPU(General-Purpose computing on GPUs) GPU GPGPU GPU ( PC ) PC PC GPU PC PC GPU GPU 2008 TSUBAME NVIDIA GPU(Tesla S1070) TOP500 29 [1] 2009 AMD

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

マルチコアPCクラスタ環境におけるBDD法のハイブリッド並列実装

マルチコアPCクラスタ環境におけるBDD法のハイブリッド並列実装 2010 GPGPU 2010 9 29 MPI/Pthread (DDM) DDM CPU CPU CPU CPU FEM GPU FEM CPU Mult - NUMA Multprocessng Cell GPU Accelerator, GPU CPU Heterogeneous computng L3 cache L3 cache CPU CPU + GPU GPU L3 cache 4

More information

スライド 1

スライド 1 GPU クラスタによる格子 QCD 計算 広大理尾崎裕介 石川健一 1.1 Introduction Graphic Processing Units 1 チップに数百個の演算器 多数の演算器による並列計算 ~TFLOPS ( 単精度 ) CPU 数十 GFLOPS バンド幅 ~100GB/s コストパフォーマンス ~$400 GPU の開発環境 NVIDIA CUDA http://www.nvidia.co.jp/object/cuda_home_new_jp.html

More information

10D16.dvi

10D16.dvi D IEEJ Transactions on Industry Applications Vol.136 No.10 pp.686 691 DOI: 10.1541/ieejias.136.686 NW Accelerating Techniques for Sequence Alignment based on an Extended NW Algorithm Jin Okaze, Non-member,

More information

単位、情報量、デジタルデータ、CPUと高速化 ~ICT用語集~

単位、情報量、デジタルデータ、CPUと高速化  ~ICT用語集~ CPU ICT mizutani@ic.daito.ac.jp 2014 SI: Systèm International d Unités SI SI 10 1 da 10 1 d 10 2 h 10 2 c 10 3 k 10 3 m 10 6 M 10 6 µ 10 9 G 10 9 n 10 12 T 10 12 p 10 15 P 10 15 f 10 18 E 10 18 a 10 21

More information

Express5800/110Rc-1 1. Express5800/110Rc-1 N N Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1

Express5800/110Rc-1 1. Express5800/110Rc-1 N N Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1 (2002/01/22) Express5800/110Rc-1 1. Express5800/110Rc-1 N8100-665 N8100-793 Express5800/110Rc-1 Express5800/110Rc-1 ( /1BG(256)) (C/850(128)) CPU Pentium (1BGHz) 1 Celeron (850MHz) 1 L1 32KB L2 256KB 128KB

More information

: 50 10 10 1. : : 3 : 4 : 2 2. : 1946 1975 1 : load: store: : : ( ) ( ) : 101 x 101 ------------- 101 101 ------------ 11001 2 ( ): 32 32 1 32 : 32 ( ) 32 ( ) : log 2 32 : : ( F) ( D) E W 1 4 : F D E

More information

2 4 8 13 18 24 29 34 39 44 46 48 1 2 3 4 5 6 7 18 11 11 15 10 16 10 8 9 10 1. 2. 3. 4. 5. 6. 7. 1. 2. 3. 4. 5. 6. 7. 11 1. 2. 3. 4. 5. 6. 7. 12 13 18 12 11 16 25 18 00 CPU Central Processing Unit 14 MUST-CAN-WILL

More information

スパコンに通じる並列プログラミングの基礎

スパコンに通じる並列プログラミングの基礎 2018.06.04 2018.06.04 1 / 62 2018.06.04 2 / 62 Windows, Mac Unix 0444-J 2018.06.04 3 / 62 Part I Unix GUI CUI: Unix, Windows, Mac OS Part II 2018.06.04 4 / 62 0444-J ( : ) 6 4 ( ) 6 5 * 6 19 SX-ACE * 6

More information

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325

1 Table 1: Identification by color of voxel Voxel Mode of expression Nothing Other 1 Orange 2 Blue 3 Yellow 4 SSL Humanoid SSL-Vision 3 3 [, 21] 8 325 社団法人人工知能学会 Japanese Society for Artificial Intelligence 人工知能学会研究会資料 JSAI Technical Report SIG-Challenge-B3 (5/5) RoboCup SSL Humanoid A Proposal and its Application of Color Voxel Server for RoboCup SSL

More information

Express5800/140Hb (2002/01/22)

Express5800/140Hb (2002/01/22) (2002/01/22) 1. N8100-592B N8100-594B N8100-681 ( -X/700(1)) ( -X/700(2)) ( -X/900(2)) CPU L1 Pentium Xeon (700MHz) 1 4 Pentium Xeon (700MHz) 1 4 32KB Pentium Xeon (900MHz) 1 4 L2 1MB 2MB 2MB CD-ROM LAN

More information

indd

indd Windows Vista 2 Service pack 1 SP1 Windows Vista Windows Xp Windows Vista Windows Vista CPU Windows OS Windows Xp Windows Vista Windows 7 15 20 Windows Vista Windows Vista Windows Xp Windows Vista Windows

More information

Express5800/120Rb-2

Express5800/120Rb-2 Workgroup/Department 1. N8500-478 N8500-486 (/533EB(256)) (/667EB(256)) CPU Pentium Pentium 533EBMHz1 2 667EBMHz1 2 L1 32KB L2 256KB 128MB 4GB ( 72.6GB) CD-ROM 24 LAN 100BASE-TX 6404801024768 OS OS 2.

More information

HP ProLiant 500シリーズ

HP ProLiant 500シリーズ HPProLiant5 DL58/585 HPProLiant5 4 HPProLiant5 HPProLiant5 64 HPProLiant5 TPC-H@1GB 4, 34,99 SAP SD Benchmark Users QphH@1GB 3, 2, 1, 4, 3, 2, 1, DL58 G5, Xeon X735 DL585 G5, AMD Opteron 836SE 17,12 DL58

More information

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2 FFT 1 Fourier fast Fourier transform FFT FFT FFT 1 FFT FFT 2 Fourier 2.1 Fourier FFT Fourier discrete Fourier transform DFT DFT n 1 y k = j=0 x j ω jk n, 0 k n 1 (1) x j y k ω n = e 2πi/n i = 1 (1) n DFT

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N N N Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120R

Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N N N Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120R Express5800/120Rc-2 Workgroup/Department 1. Express5800/120Rc-2 N8500-572 N8500-573 N8500-574 Express5800/120Rc-2 Express5800/120Rc-2 Express5800/120Rc-2 (/667(256)) (/800EB(256)) (/933(256)) CPU L1 L2

More information

untitled

untitled (RSC) RSC 11 48.451.6 1 34.3 14.5 12.8 16.1 19.8 65.7 11.3 25.5 3.6 2 11.4 34.1 27.6 21.1 5.7 7.0 43.2 35.9 11.6 2.3 65.72 3 2 3 25.5 2 19.81 16.14 38.6 4 3.6 2 43.2 9.1 7.0 4.4 4.7 3 40.3 59.7 26.6 73.4

More information

Express5800/120Rb-1 (2002/01/22)

Express5800/120Rb-1 (2002/01/22) (2002/01/22) 1. N8100-764 N8100-765 N8100-783 ( /1BG(256)) ( /1.26G(512)) ( /1.40G(512)) CPU Pentium Pentium -S Pentium -S (1BGHz) 1( 2 ) (1.26GHz) 1( 2 ) (1.40GHz) 1( 2 ) L1 32KB L2 256KB 512KB 256MB(

More information

AMD/ATI Radeon HD 5870 GPU DEGIMA LINPACK HD 5870 GPU DEGIMA LINPACK GFlops/Watt GFlops/Watt Abstract GPU Computing has lately attracted

AMD/ATI Radeon HD 5870 GPU DEGIMA LINPACK HD 5870 GPU DEGIMA LINPACK GFlops/Watt GFlops/Watt Abstract GPU Computing has lately attracted DEGIMA LINPACK Energy Performance for LINPACK Benchmark on DEGIMA 1 AMD/ATI Radeon HD 5870 GPU DEGIMA LINPACK HD 5870 GPU DEGIMA LINPACK 1.4698 GFlops/Watt 1.9658 GFlops/Watt Abstract GPU Computing has

More information

RaVioli SIMD

RaVioli SIMD RaVioli SIMD 17 17115074 i RaVioli SIMD PC PC PC PC CPU RaVioli RaVioli CPU RaVioli CPU SIMD RaVioli RaVioli SIMD RaVioli SIMD RaVioli SIMD 1 1 2 RaVioli 2 2.1 RaVioli.......................................

More information

Express5800/120Le

Express5800/120Le Workgroup/Department 1. N8500-579A N8500-671 N8500-672 (/800EB (256)-27AWS) (/800EB (256)-27AW2S) (/800EB(256)) Windows NT Server 4.0 Windows 2000 Server CPU Pentium800EBMHz1 2 L1 32KB L2 256KB 128MB 4GB

More information

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi

HDL Designer Series SupportNet GUI HDL Designer Series HDL Desi ALTIMA Company, MACNICA, Inc. HDL Designer Series Ver. 2016.2 2017 7 Rev.1 ELSENA,Inc. 1. 2. 3....3 HDL Designer Series...3...4 3-1. 3-2. SupportNet... 4... 5 4....6 4-1. 4-2.... 6 GUI... 6 5. HDL Designer

More information

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System

258 5) GPS 1 GPS 6) GPS DP 7) 8) 10) GPS GPS 2 3 4 5 2. 2.1 3 1) GPS Global Positioning System Vol. 52 No. 1 257 268 (Jan. 2011) 1 2, 1 1 measurement. In this paper, a dynamic road map making system is proposed. The proposition system uses probe-cars which has an in-vehicle camera and a GPS receiver.

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 加藤真平計算機アーキテクチャ特論 計算機アーキテクチャ特論後半第 1 回最先端アーキテクチャのトレンド 本資料は授業用です 無断で転載することを禁じます 講師加藤真平 前半の趣旨 : 並列化プログラミング for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } シングルプロセッサ マルチプロセッサ x[0]=a[0]+b[0]; x[1]=a[1]+b[1];

More information

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation

,4) 1 P% P%P=2.5 5%!%! (1) = (2) l l Figure 1 A compilation flow of the proposing sampling based architecture simulation 1 1 1 1 SPEC CPU 2000 EQUAKE 1.6 50 500 A Parallelizing Compiler Cooperative Multicore Architecture Simulator with Changeover Mechanism of Simulation Modes GAKUHO TAGUCHI 1 YOUICHI ABE 1 KEIJI KIMURA 1

More information

インテル(R) Visual Fortran Composer XE

インテル(R) Visual Fortran Composer XE Visual Fortran Composer XE 1. 2. 3. 4. 5. Visual Studio 6. Visual Studio 7. 8. Compaq Visual Fortran 9. Visual Studio 10. 2 https://registrationcenter.intel.com/regcenter/ w_fcompxe_all_jp_2013_sp1.1.139.exe

More information

Express5800/120Ra-1

Express5800/120Ra-1 1. CPU L1 L2 CD-ROM LAN OS OS N8100-661A ( /1BG(256)) Pentium 1.0BGHz 1 2 32KB 256KB 128MB 4GB (73.2GB 2) 10 24 100BASE-TX 10BASE-T 2 640 480 1280 1024* 2. DISK LINK/ACT(LAN1) STATUS LINK/ACT(LAN2) POWER/SLEEP

More information

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System

17 Proposal of an Algorithm of Image Extraction and Research on Improvement of a Man-machine Interface of Food Intake Measuring System 1. (1) ( MMI ) 2. 3. MMI Personal Computer(PC) MMI PC 1 1 2 (%) (%) 100.0 95.2 100.0 80.1 2 % 31.3% 2 PC (3 ) (2) MMI 2 ( ),,,, 49,,p531-532,2005 ( ),,,,,2005,p66-p67,2005 17 Proposal of an Algorithm of

More information

2006

2006 2006 2006 2006 (1) URL Cookie (2) Cookie (3) PDF Plone Web Content Management System Python Python Pickle ZODB Python SQL Object-Relational Mapper Web2.0 AJAX (Asynchronous Javascript XML) AJAX MochiKit

More information

1

1 PalmGauss SC PGSC-5G Instruction Manual PalmGauss SC PGSC-5G Version 1.01 PalmGauss SC PGSC5G 1.... 3 2.... 3 3.... 3 3.1... 3 3.2... 3 3.3 PalmGauss... 4 3.4... 4 3.4.1 (Fig. 4)... 4 3.4.2 (Fig. 5)...

More information

全集’.PDF

全集’.PDF 1 2 3 4 STEP1 STEP2 PC 5 PC http://www.geocities.co.jp/heartland-icho/1493/yumearuki.htm 6 7 8 NO N N N [ ] [ ] [ ] [ ] [ ] [ ] 1 2 N 34 13 1 3 2 1 3 2 9 2 [ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ] 4 10 NO [ ] [

More information

完成卒論.PDF

完成卒論.PDF LAN 4 9920449 2 0 LAN Bluetooth LAN 1 LAN LAN LAN LAN 2 LAN Bluetooth LAN Bluetooth 3 Bluetooth 4 Bluetooth 5 Bluetooth Bluetooth 6 LAN Bluetooth LAN LocalAreaNetwork 1 LAN LAN LAN LAN Ethernet Ethernet

More information

(Making the electronic circuit with use of micro-processor)

(Making the electronic circuit with use of micro-processor) (Making the electronic circuit with use of micro-processor) 1055083 1 1 2 3 4 2L T = Vs T = 1 34000 2 = 58.824 5 4069 9V R1 1k Q1 NPN R2 1k

More information

HP Workstation 総合カタログ

HP Workstation 総合カタログ HP Workstation Z HP 6 Z HP HP Z840 Workstation P.9 HP Z640 Workstation & CPU P.10 HP Z440 Workstation P.11 17.3in WIDE HP ZBook 17 G2 Mobile Workstation P.15 15.6in WIDE HP ZBook 15 G2 Mobile Workstation

More information

Source: Intel.Config: Pentium III Processor-Intel Seattle SE440BX-2, 128MB PC100 CL2 SDRAM Intel 440BX-2 Chipset Platform- Diamond Viper 550 /

Source: Intel.Config: Pentium III Processor-Intel Seattle SE440BX-2, 128MB PC100 CL2 SDRAM Intel 440BX-2 Chipset Platform- Diamond Viper 550 / 2002.1 4 1 2 3 Source: Intel.Config: Pentium III Processor-Intel Seattle SE440BX-2, 128MB PC100 CL2 SDRAM Intel 440BX-2 Chipset Platform- Diamond Viper 550 / nvidia TNT 2x AGP with 16MB memory, nvidia

More information

スパコンに通じる並列プログラミングの基礎

スパコンに通じる並列プログラミングの基礎 2018.09.10 furihata@cmc.osaka-u.ac.jp ( ) 2018.09.10 1 / 59 furihata@cmc.osaka-u.ac.jp ( ) 2018.09.10 2 / 59 Windows, Mac Unix 0444-J furihata@cmc.osaka-u.ac.jp ( ) 2018.09.10 3 / 59 Part I Unix GUI CUI:

More information

Real AdaBoost HOG 2009 3 A Graduation Thesis of College of Engineering, Chubu University Efficient Reducing Method of HOG Features for Human Detection based on Real AdaBoost Chika Matsushima ITS Graphics

More information

P2P P2P peer peer P2P peer P2P peer P2P i

P2P P2P peer peer P2P peer P2P peer P2P i 26 P2P Proposed a system for the purpose of idle resource utilization of the computer using the P2P 1150373 2015 2 27 P2P P2P peer peer P2P peer P2P peer P2P i Abstract Proposed a system for the purpose

More information

price, style. Office. VAJ/DG5TFTSXGA+ Pentium III VA0J/DX.TFTXGA Pentium III VAJ/DF5TFTXGA Pentium III VA0H/DF5TFTXGA Celeron VA0J/DF5TFTXGA Pentium I

price, style. Office. VAJ/DG5TFTSXGA+ Pentium III VA0J/DX.TFTXGA Pentium III VAJ/DF5TFTXGA Pentium III VA0H/DF5TFTXGA Celeron VA0J/DF5TFTXGA Pentium I price, style. Office. VAJ/DG5TFTSXGA+ Pentium III VA0J/DX.TFTXGA Pentium III VAJ/DF5TFTXGA Pentium III VA0H/DF5TFTXGA Celeron VA0J/DF5TFTXGA Pentium III VA0H/DX.TFTXGA Celeron 5 Q NEW VA0S/AE5TFTQXGA Pentium

More information

WebGL OpenGL GLSL Kageyama (Kobe Univ.) Visualization / 57

WebGL OpenGL GLSL Kageyama (Kobe Univ.) Visualization / 57 WebGL 2014.04.15 X021 2014 3 1F Kageyama (Kobe Univ.) Visualization 2014.04.15 1 / 57 WebGL OpenGL GLSL Kageyama (Kobe Univ.) Visualization 2014.04.15 2 / 57 WebGL Kageyama (Kobe Univ.) Visualization 2014.04.15

More information

Nios II ハードウェア・チュートリアル

Nios II ハードウェア・チュートリアル Nios II ver. 7.1 2007 8 1. Nios II FPGA Nios II Quaruts II 7.1 Nios II 7.1 Nios II Cyclone II count_binary 2. 2-1. http://www.altera.com/literature/lit-nio2.jsp 2-2. Nios II Quartus II FEATURE Nios II

More information

iphone GPGPU GPU OpenCL Mac OS X Snow LeopardOpenCL iphone OpenCL OpenCL NVIDIA GPU CUDA GPU GPU GPU 15 GPU GPU CPU GPU iii OpenMP MPI CPU OpenCL CUDA OpenCL CPU OpenCL GPU NVIDIA Fermi GPU Fermi GPU GPU

More information

アセンブラ入門(CASL II) 第3版

アセンブラ入門(CASL II) 第3版 CASLDV i COMET II COMET II CASL II COMET II 1 1 44 (1969 ) COMETCASL 6 (1994 ) COMETCASL 13 (2001 ) COMETCASL COMET IICASL II COMET IICASL II CASL II 2001 1 3 3 L A TEX 2 CASL II COMET II 6 6 7 Windows(Windows

More information

卒業論文2.dvi

卒業論文2.dvi 15 GUI A study on the system to transfer a GUI sub-picture to the enlarging viewer for operational support 1040270 2004 2 27 GUI PC PC GUI Graphical User Interface PC GUI GUI PC GUI PC PC GUI i Abstract

More information

HP Workstation Xeon 5600

HP Workstation Xeon 5600 HP Workstation Xeon 5600 HP 2 No.1 HP 5 3 Z 2No.1 HP :IDC's Worldwide Quarterly Workstation Tracker, 2009 Q4 14.0in Wide HP EliteBook 8440w/CT Mobile Workstation 15.6in Wide HP EliteBook 8540w Mobile Workstation

More information

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit)

DRAM SRAM SDRAM (Synchronous DRAM) DDR SDRAM (Double Data Rate SDRAM) DRAM 4 C Wikipedia 1.8 SRAM DRAM DRAM SRAM DRAM SRAM (256M 1G bit) (32 64M bit) 2016.4.1 II ( ) 1 1.1 DRAM RAM DRAM DRAM SRAM RAM SRAM SRAM SRAM SRAM DRAM SRAM SRAM DRAM SRAM 1.2 (DRAM, Dynamic RAM) (SRAM, Static RAM) (RAM Random Access Memory ) DRAM 1 1 1 1 SRAM 4 1 2 DRAM 4 DRAM

More information

スライド タイトルなし

スライド タイトルなし (1) - E-Mail: katto@waseda.jp Y U V R G B (1/30 ) RGB / YUV = B G R V U Y 0.31 0.52 0.21 0.32 0.28 0.60 0.11 0.59 0.30 RGB YUV CCIR 601 4:4:4 4:2:2 4:2:0 Y Y Y U V U V U V YUVUV UV 4:2:0 4:2:2 (RGB8 )

More information

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2013-HPC-139 No /5/29 Gfarm/Pwrake NICT NICT 10TB 100TB CPU I/O HPC I/O NICT Gf

情報処理学会研究報告 IPSJ SIG Technical Report Vol.2013-HPC-139 No /5/29 Gfarm/Pwrake NICT NICT 10TB 100TB CPU I/O HPC I/O NICT Gf Gfarm/Pwrake NICT 1 1 1 1 2 2 3 4 5 5 5 6 NICT 10TB 100TB CPU I/O HPC I/O NICT Gfarm Gfarm Pwrake A Parallel Processing Technique on the NICT Science Cloud via Gfarm/Pwrake KEN T. MURATA 1 HIDENOBU WATANABE

More information

12 DCT A Data-Driven Implementation of Shape Adaptive DCT

12 DCT A Data-Driven Implementation of Shape Adaptive DCT 12 DCT A Data-Driven Implementation of Shape Adaptive DCT 1010431 2001 2 5 DCT MPEG H261,H263 LSI DDMP [1]DDMP MPEG4 DDMP MPEG4 SA-DCT SA-DCT DCT SA-DCT DDMP SA-DCT MPEG4, DDMP,, SA-DCT,, ο i Abstract

More information

(SAD) x86 MPSADBW H.264/AVC H.264/AVC SAD SAD x86 SAD MPSADBW SAD 3x3 3 9 SAD SAD SAD x86 MPSADBW SAD 9 SAD SAD 4.6

(SAD) x86 MPSADBW H.264/AVC H.264/AVC SAD SAD x86 SAD MPSADBW SAD 3x3 3 9 SAD SAD SAD x86 MPSADBW SAD 9 SAD SAD 4.6 SAD 23 (410M520) (SAD) x86 MPSADBW H.264/AVC H.264/AVC SAD SAD x86 SAD MPSADBW SAD 3x3 3 9 SAD SAD SAD x86 MPSADBW SAD 9 SAD SAD 4.6 Abstract In recent years, the high definition of video image has made

More information

64bit SSE2 SSE2 FPU Visual C++ 64bit Inline Assembler 4 FPU SSE2 4.1 FPU Control Word FPU 16bit R R R IC RC(2) PC(2) R R PM UM OM ZM DM IM R: reserved

64bit SSE2 SSE2 FPU Visual C++ 64bit Inline Assembler 4 FPU SSE2 4.1 FPU Control Word FPU 16bit R R R IC RC(2) PC(2) R R PM UM OM ZM DM IM R: reserved (Version: 2013/5/16) Intel CPU (kashi@waseda.jp) 1 Intel CPU( AMD CPU) 64bit SIMD Inline Assemler Windows Visual C++ Linux gcc 2 FPU SSE2 Intel CPU double 8087 FPU (floating point number processing unit)

More information

IPSJ SIG Technical Report iphone iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Proc

IPSJ SIG Technical Report iphone iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Proc iphone 1 1 1 iphone,,., OpenGl ES 2.0 GLSL(OpenGL Shading Language), iphone GPGPU(General-Purpose Computing on Graphics Processing Unit)., AR Realtime Natural Feature Tracking Library for iphone Makoto

More information

IEEE802.11n LAN WiMAX(Mobile Worldwide Interoperability for Microwave Access) LTE(Long Term Evolution) IEEE LAN Bluetooth IEEE LAN

IEEE802.11n LAN WiMAX(Mobile Worldwide Interoperability for Microwave Access) LTE(Long Term Evolution) IEEE LAN Bluetooth IEEE LAN 23 IEEE802.11n LAN 43422519 ( ) 24 2 6 IEEE802.11n LAN WiMAX(Mobile Worldwide Interoperability for Microwave Access) LTE(Long Term Evolution) IEEE802.11 LAN Bluetooth 2009 9 IEEE802.11 LAN IEE E802.11n

More information

25 TLIFES Proposal of Behavior Recognition Method in TLIFES ( : 100430123) : 26 2 12 TLIFES Total LIFE Support system TLIFES SNS Social Networking Service TLIFES TLIFES i 1 2 2 3 2.1......................

More information

Vol. 48 No. 4 Apr LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for L

Vol. 48 No. 4 Apr LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for L Vol. 48 No. 4 Apr. 2007 LAN TCP/IP LAN TCP/IP 1 PC TCP/IP 1 PC User-mode Linux 12 Development of a System to Visualize Computer Network Behavior for Learning to Associate LAN Construction Skills with TCP/IP

More information

Express5800/140Ma

Express5800/140Ma Pentium Xeon Express 1. N8500-324 N8500-325 N8500-326 N8500-327 (X/450(512)) (X/450(512)-25AW) (X/450(1)) (X/450(1)-25AW) Windows NT Server 4.0 CPU Pentium Xeon 450MHz1 4 L1 32KB L2 512KB 1MB CD-ROM LAN

More information

1 4 1.1........................................... 4 1.2.................................. 4 1.3................................... 4 2 5 2.1 GPU.....

1 4 1.1........................................... 4 1.2.................................. 4 1.3................................... 4 2 5 2.1 GPU..... CPU GPU N Q07-065 2011 2 17 1 1 4 1.1........................................... 4 1.2.................................. 4 1.3................................... 4 2 5 2.1 GPU...........................................

More information

富士通セミコンダクター株式会社発表資料

富士通セミコンダクター株式会社発表資料 安心 安全を実現する安全を実現する FM3 マイコン 2012 年 6 月富士通セミコンダクター株式会社マイコンソリューション事業本部五十嵐稔行 Copyright 2010 FUJITSU LIMITED 目次 FM3 ロードマップ 安心 安全への取り組み安全への取り組み 1 Copyright 2010 FUJITSU LIMITED CPUロードマップとITRON系RTOS製品 T-Kernel/μT-Kernel

More information