Size: px
Start display at page:

Download ""

Transcription

1

2

3 :

4 1. : : 3 : 4 : 2 2.

5 : : load: store: : : ( )

6

7 ( ) : 101 x

8 ( ): : 32 ( ) 32 ( ) : log 2 32

9 : : ( F) ( D) E W 1 4 :

10 F D E W F D E W F D E W F D E W F D E W F D E W F D E W

11 :

12 F D E W F D E W F D E W F D E W F D E W F D E W F D E W F D E W F D E W F D E W F D E W F D E W

13 Intel P Out-of-order execution /

14 : memory wall : : (load) DRAM CPU ( ) : Intel P4, C2D 64 bit, 1066 MHz (DRAM ) AMD K8 128 bit, 667 MHz CPU

15 : CPU CPU ( )

16 CPU CPU CPU

17 do i=1, a(i) = b(i) + c(i) enddo : 1970 (CDC 7600)

18 : 30 CDC 6600 load-store (CDC) IBM 360/85 OOO CDC 6600 ( )

19 ( ) : : do i=1, a(i) = b(i) + c(i) enddo

20 64 8 =

21 : : 8086 : 10 4 P4 : 10 8 :

22 : Cray-1 (1976) CDC Cyber 205, CPU+ Cray-2, Cray-(X/Y)MP

23 CPU ( ) : : CPU?

24 ( ) : 1 CPU + ( ) =

25 QCD (Caltech Hypercube) - PACS/PAX ILLIAC IV ( )

26 CPU CPU CPU CPU ILLIAC IV : SIMD ( CPU ) MIMD ( ) : Massively-Parallel Highly-Parallel

27 1970 : 1980 : / SIMD/MIMD / /AllCache/...

28 1990 : (2) NEC Cray T3x Alpha Beowulf Intel x :??? Beowulf SMP?

29

30 : 2002 : < 10 4 LSI: MHz Intel Pentium 4:??? : 1 : 2GHz 10

31 : : message-passing :

32 Beowulf : OS, : Linux, gcc, MPICH

33 Early Beowulfs

34 Beowulf ( ) > 100µs 3 GbE 100MB/s

35

36 FMM

37 :

38 2

39 The copy algorithm P0 0,1 2,3 4,5 6,7 P1 0,1 2,3 4,5 6,7 P2 0,1 2,3 4,5 6,7 P3 0,1 2,3 4,5 6,7 Step 0 P0 0,1 2,3 4,5 6,7 P1 0,1 2,3 4,5 6,7 P2 0,1 2,3 4,5 6,7 P3 0,1 2,3 4,5 6,7 Step 1

40 The ring algorithm P0 0,1 P1 2,3 P2 4,5 P3 6,7 Stage 0 P0 0,1 P1 2,3 P2 4,5 P3 6,7 Stage 1 6,7 0,1 2,3 4,5 P0 0,1 P1 2,3 P2 4,5 P3 6,7 Stage 2 4,5 6,7 0,1 2,3

41 N p T ring = C f N 2 /p + C c N + C s p. (1) C f C c C s 1 p O(N)

42 1

43 2 1-D decomposition 2-D decomposition 1 1 2

44 i j 1 2

45 2 i j

46 q q q q 10 q 11 q 12 q 20 q 21 q 22 2D

47 Step 1 Step 2 q 00 q 01 q 02 q 00 q 01 q 02 0,1,2 3,4,5 6,7,8 0,1,2 0,1,2 0,1,2 0,1,2 3,4,5 6,7,8 0,1,2 0,1,2 0,1,2 q 10 q 11 q 12 q 10 q 11 q 12 0,1,2 3,4,5 6,7,8 3,4,5 3,4,5 3,4,5 0,1,2 3,4,5 6,7,8 3,4,5 3,4,5 3,4,5 q 20 q 21 q 22 q 20 q 21 q 22 0,1,2 3,4,5 6,7,8 6,7,8 6,7,8 6,7,8 0,1,2 3,4,5 6,7,8 6,7,8 6,7,8 6,7,8

48 r 2 T 2Dbcast = C f N 2 /r 2 + 2(C c N/r + C s log 2 r). (2) (N/r) 2 N/r r N N 2

49 50 % p half,2dbcast (NC f /2C c ) 2 (3) ( )

50 p half,ind,2d N 5/3 C f /[C s log 2 (N 5/3 C f /2C s )] (4) N 2 1

51 N 2 Barnes and Hillis (1987?) Hyper-systolic algorithm (Lippert et al. 1998) 1 + non-unit-stride communication

52 FMM N Salmon & Warren

53 2 Caltech Hypercube Salmon Warren Orthogonal Recursive Bysection (ORB) Hashed Oct Tree (HOT)

54 ORB x y 3 z 2 x

55 ORB ORB Dubinski ORB

56 (local essential tree, LET)

57 Dubinski ORB

58 ORB tree ORB tree local tree LET

59

60 HOT N 1

61 HOT Morton Ordering

62 HOT LET

63 HOT and/or

64 ORB HOT ORB ) 2 n HOT

65 ORB , 4, 8 ORB

66 ORB ORB Dubinski ORB

67 Brackston & Suel 0 0

68 (local essential tree, LET)

69 LET LET Barnes

70

71

72 10 ( ) ( )?

73 :?

74

75 :

76 N N =

77 = = (???) (?) =

78 1 =

79 PS2, SH4 : 3D Intel SSE, AMD 3DNow!, Motorola AltiVec: SIMD VLIW

80 GRAPE Host Computer GRAPE Time integration etc. Interaction calculation : :

81

82 (Fortran, C, C++...)

83 1988 GRAPE

84 GRAPE 1 1 GRAPE 2 (i ) (j )

85 i j Pipe 0 (i0) Memory (j0) Pipe 0 (i) Memory Pipe 1 (i1) Memory (j1) Pipe 1 (i) j Pipe 2 (i2) Memory (j2) Pipe 2 (i) Pipe 3 (i3) Memory (j3) Pipe 3 (i) i-parallel j-parallel

86 i j i GRAPE-4: i j GRAPE-6: i j

87 GRAPE 1989 GRAPE-1 EPROM 1990 GRAPE-2 LSI 1991 GRAPE-3 LSI 1995 GRAPE-4 LSI 1998 GRAPE GRAPE-6

88

89 GRAPE-1

90 GRAPE-2

91 GRAPE-3

92 GRAPE-4

93 GRAPE-4 TURBOchannel Host Interface Host Interface Host Host Interface Host Interface Control Board Control Board Control Board Control Board Processor Board Processor Board Processor Board Processor Board Processor Board Processor Board Processor Board Processor Board Processor Board

94 GRAPE-4 Xi Xi sqrt Pcut Fcut Xi Xi m/r FiFiPi m j Xi Xi r 2 Xi Xi Func. eval. Xi Xi Xi Xi Xi Xi Xi Xi m/r 3 Xi Xi Xi FiFiFi Xj Xi Xi Vi Xi Xi r. v m/r 5 Xi Xi Vj Xi Xi Xi Xi FiFiJi Xi Xi Xi Xi

95 GRAPE-4 Control Logic HARP LSI #0 Particle Data Memory PROMETHEUS LSI HARP LSI #15 HARP LSI #16 LSI HARP LSI #31 HARP LSI #32 HARP LSI #47

96 GRAPE-6

97 パイプライン LSI 0.25 µm ルール (東芝 TC-240, 1.8M ゲート) 90 MHz 動作 6 パイプラインを集積 チップあたり 31 Gflops

98 RST CLK BCLK MEMA 21 MEMD IPD IPWE JPD 36 JPWE CALC UNIT PDATA 36 VD PREDICTOR PIPELINE UNIT LSI IPW UNIT JPW UNIT VMPSYNC 352 XP,VP,M,I ADDRESS, DATA, WEs To other units MEMA MEMD MEMOE MEMWE RUN INTERACTION INTERACTION PIPELINE INTERACTION PIPELINE UNIT INTERACTION PIPELINE UNIT INTERACTION PIPELINE UNIT INTERACTION PIPELINE UNIT PIPELINE UNIT UNIT NEIGHBOR LIST NEIGHBOR UNIT LIST NEIGHBOR UNIT LIST UNIT FO UNIT 36 STS VD ND FODATA WD GRAPE-4 IF IF

99 GRAPE-6 processor module

100 GRAPE-6 processor module G6 Chip SSRAM SSRAM 36 Reduction Unit (FPGA) G6 Chip G6 Chip SSRAM SSRAM SSRAM SSRAM G6 Chip SSRAM SSRAM

101 GRAPE-6 Processor board 3.3V 2.5V sum unit proc module proc module output port input port LVDS Tx LVDS Rx sum unit sum unit proc module proc module 1 32 sum unit proc module proc module (LVDS) sum unit proc module proc module (350MHz 4 wires) clock,

102 GRAPE-6 processor board

103 GRAPE-6 network board

104 GRAPE-6 host interface board

105 The full 64 Tflops GRAPE-6 system PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB 4-host, 16-board block with dedicated network H H H H H H Gigabit Ethernet Switch H H 4 (currently 3) blocks connected H H H H H H H H through GbE network PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB PB Combination of host network solution and PB PB PB PB PB PB PB PB dedicated network PB PB PB PB PB PB PB PB solution.

106 The 48-Tflops GRAPE-6 system Present 48-Tflops system. Three blocks with 16 host computers. The fourth block will be operational by the end of this month.

107 The host PC Cluster

108 13 GRAPE GRAPE

109 GRAPE OS

110 1

111 Case Study GRAPE-6 GRAPE-4

112 >>

113 GRAPE GRAPE

114 2

115 2 10µs 1ms 1 70A 140A

116

117

118 Case Study

119 2 DMDP (Delft) FASTRUN GRAPE MD-Engine MDM Digital Orrery Transputer-based projects... HaMM

120 (1) (2)

121

122 : Beowulf DSP (QCDSP) Dreamcast, PS2???

123 : : 3-5 1/

124 OK 10 7

125 GRAPE on-chip multiprocessor

126 GRAPE GRAPE

127 FPGA FPGA

128 GRAPE: 5 10

129 GRAPE 5-10 GRAPE

GRAPE-DR /

GRAPE-DR / GRAPE-DR / GRAPE GRAPE-DR GRAPE ( ): (Barnes-Hut tree, FMM, Particle- Mesh Ewald(PPPM)...): ( ) 1988 32 IC 200 0.1m 3 400 GRAPE-1(1989) 16 8 32 48 240Mflops GRAPE-2(1990) 8 ( ) 40Mflops GRAPE-3(1991) 24

More information

untitled

untitled taisuke@cs.tsukuba.ac.jp http://www.hpcs.is.tsukuba.ac.jp/~taisuke/ CP-PACS HPC PC post CP-PACS CP-PACS II 1990 HPC RWCP, HPC かつての世界最高速計算機も 1996年11月のTOP500 第一位 ピーク性能 614 GFLOPS Linpack性能 368 GFLOPS (地球シミュレータの前

More information

GRAPE GRAPE-DR V-GRAPE

GRAPE GRAPE-DR V-GRAPE GRAPE-DR / 2006/11/20-22 GRAPE GRAPE-DR V-GRAPE http://antwrp.gsfc.nasa.gov/apod/ap950917.html ( ) SDSS Genzel et al 2003 Adaptive Optics SgrA ( ) 12 1 : GRAPE : (Barnes-Hut tree, FMM, Particle- Mesh

More information

卒業論文

卒業論文 PC OpenMP SCore PC OpenMP PC PC PC Myrinet PC PC 1 OpenMP 2 1 3 3 PC 8 OpenMP 11 15 15 16 16 18 19 19 19 20 20 21 21 23 26 29 30 31 32 33 4 5 6 7 SCore 9 PC 10 OpenMP 14 16 17 10 17 11 19 12 19 13 20 1421

More information

GRAPE GRAPE-DR V-GRAPE

GRAPE GRAPE-DR V-GRAPE V-GRAPE / CCSR 2007/1/24 GRAPE GRAPE-DR V-GRAPE http://antwrp.gsfc.nasa.gov/apod/ap950917.html ( ) SDSS GRAPE : (Barnes-Hut tree, FMM, Particle- Mesh Ewald(PPPM)...): ( ) 1988 GRAPE-1(1989) 16 8 32

More information

スパコンに通じる並列プログラミングの基礎

スパコンに通じる並列プログラミングの基礎 2018.09.10 furihata@cmc.osaka-u.ac.jp ( ) 2018.09.10 1 / 59 furihata@cmc.osaka-u.ac.jp ( ) 2018.09.10 2 / 59 Windows, Mac Unix 0444-J furihata@cmc.osaka-u.ac.jp ( ) 2018.09.10 3 / 59 Part I Unix GUI CUI:

More information

HPC / (CfCA) HPC 2007/11/23-25

HPC / (CfCA) HPC 2007/11/23-25 HPC / (CfCA) HPC 2007/11/23-25 CfCA GRAPE GRAPE GRAPE-DR HPC : : 1 1 (II ) Ia 100 1 ( ) 0.1 pc 1 AU 3 : 1 100 Top-down Katz and Gunn 1992 Dark Matter + + DM, : :SPH 10 4 Cray YMP 500-1000 : 10 7 Saitoh

More information

スパコンに通じる並列プログラミングの基礎

スパコンに通じる並列プログラミングの基礎 2016.06.06 2016.06.06 1 / 60 2016.06.06 2 / 60 Windows, Mac Unix 0444-J 2016.06.06 3 / 60 Part I Unix GUI CUI: Unix, Windows, Mac OS Part II 0444-J 2016.06.06 4 / 60 ( : ) 6 6 ( ) 6 10 6 16 SX-ACE 6 17

More information

スパコンに通じる並列プログラミングの基礎

スパコンに通じる並列プログラミングの基礎 2018.06.04 2018.06.04 1 / 62 2018.06.04 2 / 62 Windows, Mac Unix 0444-J 2018.06.04 3 / 62 Part I Unix GUI CUI: Unix, Windows, Mac OS Part II 2018.06.04 4 / 62 0444-J ( : ) 6 4 ( ) 6 5 * 6 19 SX-ACE * 6

More information

main.dvi

main.dvi PC 1 1 [1][2] [3][4] ( ) GPU(Graphics Processing Unit) GPU PC GPU PC ( 2 GPU ) GPU Harris Corner Detector[5] CPU ( ) ( ) CPU GPU 2 3 GPU 4 5 6 7 1 toyohiro@isc.kyutech.ac.jp 45 2 ( ) CPU ( ) ( ) () 2.1

More information

untitled

untitled PC murakami@cc.kyushu-u.ac.jp muscle server blade server PC PC + EHPC/Eric (Embedded HPC with Eric) 1216 Compact PCI Compact PCIPC Compact PCISH-4 Compact PCISH-4 Eric Eric EHPC/Eric EHPC/Eric Gigabit

More information

01_OpenMP_osx.indd

01_OpenMP_osx.indd OpenMP* / 1 1... 2 2... 3 3... 5 4... 7 5... 9 5.1... 9 5.2 OpenMP* API... 13 6... 17 7... 19 / 4 1 2 C/C++ OpenMP* 3 Fortran OpenMP* 4 PC 1 1 9.0 Linux* Windows* Xeon Itanium OS 1 2 2 WEB OS OS OS 1 OS

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

Second-semi.PDF

Second-semi.PDF PC 2000 2 18 2 HPC Agenda PC Linux OS UNIX OS Linux Linux OS HPC 1 1CPU CPU Beowulf PC (PC) PC CPU(Pentium ) Beowulf: NASA Tomas Sterling Donald Becker 2 (PC ) Beowulf PC!! Linux Cluster (1) Level 1:

More information

1 osana@eee.u-ryukyu.ac.jp : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T) LSI / PC clock accurate / Artix-7 XC7A100T Kintex-7 XC7K325T : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx) : 28y4

More information

スライド 1

スライド 1 GPU クラスタによる格子 QCD 計算 広大理尾崎裕介 石川健一 1.1 Introduction Graphic Processing Units 1 チップに数百個の演算器 多数の演算器による並列計算 ~TFLOPS ( 単精度 ) CPU 数十 GFLOPS バンド幅 ~100GB/s コストパフォーマンス ~$400 GPU の開発環境 NVIDIA CUDA http://www.nvidia.co.jp/object/cuda_home_new_jp.html

More information

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2

CPU Levels in the memory hierarchy Level 1 Level 2... Increasing distance from the CPU in access time Level n Size of the memory at each level 1: 2.2 FFT 1 Fourier fast Fourier transform FFT FFT FFT 1 FFT FFT 2 Fourier 2.1 Fourier FFT Fourier discrete Fourier transform DFT DFT n 1 y k = j=0 x j ω jk n, 0 k n 1 (1) x j y k ω n = e 2πi/n i = 1 (1) n DFT

More information

次世代スーパーコンピュータのシステム構成案について

次世代スーパーコンピュータのシステム構成案について 6 19 4 27 1. 2. 3. 3.1 3.2 A 3.3 B 4. 5. 2007/4/27 4 1 1. 2007/4/27 4 2 NEC NHF2 18 9 19 19 2 28 10PFLOPS2.5PB 30MW 3,200 18 12 12 SimFold, GAMESS, Modylas, RSDFT, NICAM, LatticeQCD, LANS HPL, NPB-FT 19

More information

develop

develop SCore SCore 02/03/20 2 1 HA (High Availability) HPC (High Performance Computing) 02/03/20 3 HA (High Availability) Mail/Web/News/File Server HPC (High Performance Computing) Job Dispatching( ) Parallel

More information

1重谷.PDF

1重谷.PDF RSCC RSCC RSCC BMT 1 6 3 3000 3000 200310 1994 19942 VPP500/32PE 19992 VPP700E/128PE 160PE 20043 2 2 PC Linux 2048 CPU Intel Xeon 3.06GHzDual) 12.5 TFLOPS SX-7 32CPU/256GB 282.5 GFLOPS Linux 3 PC 1999

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments

Slides: TimeGraph: GPU Scheduling for Real-Time Multi-Tasking Environments 計算機アーキテクチャ第 11 回 マルチプロセッサ 本資料は授業用です 無断で転載することを禁じます 名古屋大学 大学院情報科学研究科 准教授加藤真平 デスクトップ ジョブレベル並列性 スーパーコンピュータ 並列処理プログラム プログラムの並列化 for (i = 0; i < N; i++) { x[i] = a[i] + b[i]; } プログラムの並列化 x[0] = a[0] + b[0];

More information

橡3_2石川.PDF

橡3_2石川.PDF PC RWC 01/10/31 2 1 SCore 1,024 PC SCore III PC 01/10/31 3 SCore SCore Aug. 1995 Feb. 1996 Oct. 1996 1997-1998 Oct. 1999 Oct. 2000 April. 2001 01/10/31 4 2 SCore University of Bonn, Germany University

More information

ACE Associated Computer Experts bv

ACE Associated Computer Experts bv CoSy Application CoSy Marcel Beemster/Yoichi Sugiyama ACE Associated Compiler Experts & Japan Novel Corporation contact: yo_sugi@jnovel.co.jp Parallel Architecture 2 VLIW SIMD MIMD 3 MIMD HW DSP VLIW/ILP

More information

Cloud[2] (48 ) Xeon Phi (50+ ) IBM Cyclops[9] (64 ) Cavium Octeon II (32 ) Tilera Tile-GX (100 ) PE [11][7] 2 Nsim[10] 8080[1] SH-2[5] SH [8

Cloud[2] (48 ) Xeon Phi (50+ ) IBM Cyclops[9] (64 ) Cavium Octeon II (32 ) Tilera Tile-GX (100 ) PE [11][7] 2 Nsim[10] 8080[1] SH-2[5] SH [8 1600 1,a) 1,b) 8080 SH-2 8080 SH-2 Simulation of a Many-Core Architecture with 16 Million Processing Cores Hisanobu Tomari 1,a) Kei Hiraki 1,b) Abstract: 8080 and SH-2 processors are evaluated as building

More information

smpp_resume.dvi

smpp_resume.dvi 6 mmiki@mail.doshisha.ac.jp Parallel Processing Parallel Pseudo-parallel Concurrent 1) 1/60 1) 1997 5 11 IBM Deep Blue Deep Blue 2) PC 2000 167 Rank Manufacturer Computer Rmax Installation Site Country

More information

untitled

untitled Power Wall HPL1 10 B/F EXTREMETECH Supercomputing director bets $2,000 that we won t have exascale computing by 2020 One of the biggest problems standing in our way is power. [] http://www.extremetech.com/computing/155941

More information

2005 1

2005 1 25 SPARCstation 2 CPU central processor unit 25 2 25 3 25 4 DRAM 25 5 25 6 : DRAM 25 7 2 25 8 2 25 9 2 bit: binary digit V 2V 25 2 2 2 2 4 5 2 6 3 7 25 A B C A B C A B C A B C A C A B 3 25 2 25 3 Co Cin

More information

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops

Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops Agenda GRAPE-MPの紹介と性能評価 GRAPE-MPの概要 OpenCLによる四倍精度演算 (preliminary) 4倍精度演算用SIM 加速ボード 6 processor elem with 128 bit logic Peak: 1.2Gflops ボードの概要 Control processor (FPGA by Altera) GRAPE-MP chip[nextreme

More information

02_Matrox Frame Grabbers_1612

02_Matrox Frame Grabbers_1612 Matrox - - Frame Grabbers MatroxRadient ev-cxp Equalizer Equalizer Equalizer Equalizer 6.25 Gbps 20 Mbps Stream channel Control channel Stream channel Control channel Stream channel Control channel Stream

More information

A 99% MS-Free Presentation

A 99% MS-Free Presentation A 99% MS-Free Presentation 2 Galactic Dynamics (Binney & Tremaine 1987, 2008) Dynamics of Galaxies (Bertin 2000) Dynamical Evolution of Globular Clusters (Spitzer 1987) The Gravitational Million-Body Problem

More information

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133

A Study of Adaptive Array Implimentation for mobile comunication in cellular system GD133 A Study of Adaptive Array Implimentation for mobile comunication in cellular system 15 1 31 01GD133 LSI DSP CMA 10km/s i 1 1 2 LS-CMA 5 2.1 CMA... 5 2.1.1... 5 2.1.2... 7 2.1.3... 10 2.2 LS-CMA... 13 2.2.1...

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P

PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 P PC Development of Distributed PC Grid System,,,, Junji Umemoto, Hiroyuki Ebara, Katsumi Onishi, Hiroaki Morikawa, and Bunryu U PC WAN PC PC WAN PC 1 PC PC PC PC PC Key Words:Grid, PC Cluster, Distributed

More information

橡松下発表資料.PDF

橡松下発表資料.PDF ... TV TV MPEG2 1394 JAVA HTML BML LSI Bluetooth 802.11 Linux PLC Internet ITRON 1. 2. TV -1-2 -3 3. 1. 2. TV -1-2 -3 3. 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 96/9 PerfecTV 98/4 SkyPerfecTV

More information

LinuxDeviceDriver2003-PDF.PDF

LinuxDeviceDriver2003-PDF.PDF Linux Kernel Conference 2003 Linux info@devdrv.com 2003/10/9 Device Drivers Limited 1 Linux 2.6 Device Drivers Limited 2 SpinLock Atomic (SMP) HyperThreading(HT) tasklet task_queue /proc Device Drivers

More information

EGunGPU

EGunGPU Super Computing in Accelerator simulations - Electron Gun simulation using GPGPU - K. Ohmi, KEK-Accel Accelerator Physics seminar 2009.11.19 Super computers in KEK HITACHI SR11000 POWER5 16 24GB 16 134GFlops,

More information

nakayama15icm01_l7filter.pptx

nakayama15icm01_l7filter.pptx Layer-7 SDN SDN NFV 50 % 3 MVNO 1 2 ICM @ 2015/01/16 2 1 1 2 2 1 2 2 ICM @ 2015/01/16 3 2 Service Dependent Management (SDM) SDM Simple Management of Access-Restriction Translator Gateway (SMART-GW) ICM

More information

HPEハイパフォーマンスコンピューティング ソリューション

HPEハイパフォーマンスコンピューティング ソリューション HPE HPC / AI Page 2 No.1 * 24.8% No.1 * HPE HPC / AI HPC AI SGIHPE HPC / AI GPU TOP500 50th edition Nov. 2017 HPE No.1 124 www.top500.org HPE HPC / AI TSUBAME 3.0 2017 7 AI TSUBAME 3.0 HPE SGI 8600 System

More information

1 M32R Single-Chip Multiprocessor [2] [3] [4] [5] Linux/M32R UP(Uni-processor) SMP(Symmetric Multi-processor) MMU CPU nommu Linux/M32R Linux/M32R 2. M

1 M32R Single-Chip Multiprocessor [2] [3] [4] [5] Linux/M32R UP(Uni-processor) SMP(Symmetric Multi-processor) MMU CPU nommu Linux/M32R Linux/M32R 2. M M32R Linux SMP a) Implementation of Linux SMP kernel for M32R multiprocessor Hayato FUJIWARA a), Hitoshi YAMAMOTO, Hirokazu TAKATA, Kei SAKAMOTO, Mamoru SAKUGAWA, and Hiroyuki KONDO CPU OS 32 RISC M32R

More information

Itanium2ベンチマーク

Itanium2ベンチマーク HPC CPU mhori@ile.osaka-u.ac.jp Special thanks Timur Esirkepov HPC 2004 2 25 1 1. CPU 2. 3. Itanium 2 HPC 2 1 Itanium2 CPU CPU 3 ( ) Intel Itanium2 NEC SX-6 HP Alpha Server ES40 PRIMEPOWER SR8000 Intel

More information

( )

( ) 1. 2. 3. 4. 5. ( ) () http://www-astro.physics.ox.ac.uk/~wjs/apm_grey.gif http://antwrp.gsfc.nasa.gov/apod/ap950917.html ( ) SDSS : d 2 r i dt 2 = Gm jr ij j i rij 3 = Newton 3 0.1% 19 20 20 2 ( ) 3 3

More information

Cisco 1711/1712セキュリティ アクセス ルータの概要

Cisco 1711/1712セキュリティ アクセス ルータの概要 CHAPTER 1 Cisco 1711/1712 Cisco 1711/1712 Cisco 1711/1712 1-1 1 Cisco 1711/1712 Cisco 1711/1712 LAN Cisco 1711 1 WIC-1-AM WAN Interface Card WIC;WAN 1 Cisco 1712 1 ISDN-BRI S/T WIC-1B-S/T 1 Cisco 1711/1712

More information

RaVioli SIMD

RaVioli SIMD RaVioli SIMD 17 17115074 i RaVioli SIMD PC PC PC PC CPU RaVioli RaVioli CPU RaVioli CPU SIMD RaVioli RaVioli SIMD RaVioli SIMD RaVioli SIMD 1 1 2 RaVioli 2 2.1 RaVioli.......................................

More information

21 20 20413525 22 2 4 i 1 1 2 4 2.1.................................. 4 2.1.1 LinuxOS....................... 7 2.1.2....................... 10 2.2........................ 15 3 17 3.1.................................

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

untitled

untitled OS 2007/4/27 1 Uni-processor system revisited Memory disk controller frame buffer network interface various devices bus 2 1 Uni-processor system today Intel i850 chipset block diagram Source: intel web

More information

SQUFOF NTT Shanks SQUFOF SQUFOF Pentium III Pentium 4 SQUFOF 2.03 (Pentium 4 2.0GHz Willamette) N UBASIC 50 / 200 [

SQUFOF NTT Shanks SQUFOF SQUFOF Pentium III Pentium 4 SQUFOF 2.03 (Pentium 4 2.0GHz Willamette) N UBASIC 50 / 200 [ SQUFOF SQUFOF NTT 2003 2 17 16 60 Shanks SQUFOF SQUFOF Pentium III Pentium 4 SQUFOF 2.03 (Pentium 4 2.0GHz Willamette) 60 1 1.1 N 62 16 24 UBASIC 50 / 200 [ 01] 4 large prime 943 2 1 (%) 57 146 146 15

More information

XACCの概要

XACCの概要 2 global void kernel(int a[max], int llimit, int ulimit) {... } : int main(int argc, char *argv[]){ MPI_Int(&argc, &argc); MPI_Comm_rank(MPI_COMM_WORLD, &rank); MPI_Comm_size(MPI_COMM_WORLD, &size); dx

More information

A Responsive Processor for Parallel/Distributed Real-time Processing

A Responsive Processor for Parallel/Distributed Real-time Processing E-mail: yamasaki@{ics.keio.ac.jp, etl.go.jp} http://www.ny.ics.keio.ac.jp etc. CPU) I/O I/O or Home Automation, Factory Automation, (SPARC) (SDRAM I/F, DMAC, PCI, USB, Timers/Counters, SIO, PIO, )

More information

Myrinet2000 ご紹介

Myrinet2000 ご紹介 34 HPC -Myrinet- ES HPC http://www.sse.co.jp/myrinet/ Out Line Myrinet HPC 50 2 4 O.S. Computer Computer Computer Computer Computer Low-level Interconnection Network (transport & switching) 2-4 / / OS

More information

untitled

untitled Network Product Guide Network Monitoring System Network Product Guide Time stamp Write to disk Filter Convert Summarise Network Product Guide Network Monitoring System TDS2 TDS24 Network Analysis Report

More information

並列計算の数理とアルゴリズム サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. このサンプルページの内容は, 初版 1 刷発行時のものです.

並列計算の数理とアルゴリズム サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます.  このサンプルページの内容は, 初版 1 刷発行時のものです. 並列計算の数理とアルゴリズム サンプルページ この本の定価 判型などは, 以下の URL からご覧いただけます. http://www.morikita.co.jp/books/mid/080711 このサンプルページの内容は, 初版 1 刷発行時のものです. Calcul scientifique parallèle by Frédéric Magoulès and François-Xavier

More information

卒業論文2.dvi

卒業論文2.dvi 15 GUI A study on the system to transfer a GUI sub-picture to the enlarging viewer for operational support 1040270 2004 2 27 GUI PC PC GUI Graphical User Interface PC GUI GUI PC GUI PC PC GUI i Abstract

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

Oracle Application Server 10g(9

Oracle Application Server 10g(9 Oracle Application Server 10g (9.0.4) for Microsoft Windows J2EE Oracle Application Server 10g (9.0.4) for Microsoft Windows J2EE and Web Cache...2...3...3...4...6...6...6 OS...9...10...12...13...24...24

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

09中西

09中西 PC NEC Linux (1) (2) (1) (2) 1 Linux Linux 2002.11.22) LLNL Linux Intel Xeon 2300 ASCIWhite1/7 / HPC (IDC) 2002 800 2005 2004 HPC 80%Linux) Linux ASCI Purple (ASCI 100TFlops Blue Gene/L 1PFlops (2005)

More information

GPUを用いたN体計算

GPUを用いたN体計算 単精度 190Tflops GPU クラスタ ( 長崎大 ) の紹介 長崎大学工学部超高速メニーコアコンピューティングセンターテニュアトラック助教濱田剛 1 概要 GPU (Graphics Processing Unit) について簡単に説明します. GPU クラスタが得意とする応用問題を議論し 長崎大学での GPU クラスタによる 取組方針 N 体計算の高速化に関する研究内容 を紹介します. まとめ

More information

1 2 2/17

1 2 2/17 1/17 1 2 2/17 ROM ROM 2 CD-ROM CD CD ROM LSI ROM CD-ROM 3/17 3 http://www.pc-view.net/special/000411/ 4/17 4 http://www.pc-view.net/special/000411/page2.html 5 5/17 6/17 7/17 2PS2 6 8/17 7 9/17 8 10/17

More information

システムオンチップ技術

システムオンチップ技術 (SoC) 2004/6/11 Yukihiro Nakamura e-mail: nakamura@kuee.kyoto-u.ac.jp u.ac.jp (VLSI) () VLSI DIPS IBM370 CPU MH MB GB DIPS-11201975 VAIO LSI Sony VAIO CPU MH MB GB Pentium () () V,S.,B Sun Micro

More information

ProLiant ML110 Generation 4 システム構成図

ProLiant ML110 Generation 4 システム構成図 HP ProLiant ML110 Generation 5 2010 4 16 1 OVERVIEW ProLiant ML110 Generation 5 ProLiant ML110 Generation 5 1, 2 LED LED ( ) ( ) ( ) Lights-Out 100c ( ) 2 3 6 USB SATA ML110 G5 ProLiant ML110 G5 SATA /

More information

untitled

untitled Corporate Development Division Semiconductor Company Matsushita Electric Industrial Co.,Ltd. http://www.panasonic.co.jp/semicon/ DebugFactory Builder for MN101C PanaX IDE IBM PC/AT CPU Intel Pentium 450MHz

More information

160311_icm2015-muramatsu-v2.pptx

160311_icm2015-muramatsu-v2.pptx Linux におけるパケット処理機構の 性能評価に基づいた NFV 導 の 検討 村松真, 川島 太, 中 裕貴, 林經正, 松尾啓志 名古屋 業 学 学院 株式会社ボスコ テクノロジーズ ICM 研究会 2016/03/11 研究 的 VM 仮想 NIC バックエンド機構 仮想化環境 仮想スイッチ パケット処理機構 物理環境 性能要因を考察 汎 IA サーバ NFV 環境に適したサーバ構成を検討

More information

Run-Based Trieから構成される 決定木の枝刈り法

Run-Based Trieから構成される  決定木の枝刈り法 Run-Based Trie 2 2 25 6 Run-Based Trie Simple Search Run-Based Trie Network A Network B Packet Router Packet Filtering Policy Rule Network A, K Network B Network C, D Action Permit Deny Permit Network

More information

Express5800/120Ed

Express5800/120Ed Pentium 60% 1. N8500-570A N8500-662 N8500-663 N8500-664 ( /800EB(256)) ( /800EB(256)-9W) ( /800EB(256)-9W2) ( /1BG(256)) Windows NT Server 4.0 Windows 2000 HDD HDD CPU Pentium 800EBMHz1 Pentium 1BGHz1

More information

An Interactive Visualization System of Human Network for Multi-User Hiroki Akehata 11N F

An Interactive Visualization System of Human Network for Multi-User Hiroki Akehata 11N F An Interactive Visualization System of Human Network for Multi-User Hiroki Akehata 11N8100002F 2013 3 ,.,.,.,,., (, )..,,,.,,.,, SPYSEE. SPYSEE,,., 2,,.,,.,,,,.,,,.,, Microsoft Microsoft PixelSense Samsung

More information

GPGPU

GPGPU GPGPU 2013 1008 2015 1 23 Abstract In recent years, with the advance of microscope technology, the alive cells have been able to observe. On the other hand, from the standpoint of image processing, the

More information

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration

5 2 5 Stratix IV PLL 2 CMU PLL 1 ALTGX MegaWizard Plug-In Manager Reconfig Alt PLL CMU PLL Channel and TX PLL select/reconfig CMU PLL reconfiguration 5. Stratix IV SIV52005-2.0 Stratix IV GX PMA BER FPGA PMA CMU PLL Pphased-Locked Loop CDR 5 1 5 3 5 5 Quartus II MegaWizard Plug-In Manager 5 42 5 47 rx_tx_duplex_sel[1:0] 5 49 logical_channel_address

More information

NEC Storage series NAS Device

NEC Storage series NAS Device NEC Storage NV Series NAS Device Guide for Oracle Storage Compatibility Program Snapshot Technologies is-wp-04-001 Rev-1.00(J) Oct, 2004 NEC Solutions NEC Corporation. - 1 - Copyright 2004 NEC Corporation

More information

supercomputer2010.ppt

supercomputer2010.ppt nanri@cc.kyushu-u.ac.jp 1 !! : 11 12! : nanri@cc.kyushu-u.ac.jp! : Word 2 ! PC GPU) 1997 7 http://wiredvision.jp/news/200806/2008062322.html 3 !! (Cell, GPU )! 4 ! etc...! 5 !! etc. 6 !! 20km 40 km ) 340km

More information

モバイルプリペイド決済の実現モデルの調査研究

モバイルプリペイド決済の実現モデルの調査研究 ECOM 2005 Gift 1...1 2...4 2.1... 4 2.2... 5 2.2.1... 5 2.2.2... 7 2.2.3... 8 2.2.4... 9 2.3... 10 2.3.1... 10 2.3.2... 12 2.3.3... 14 3... 16 3.1... 17 3.1.2... 18 3.1.3... 20 3.1.4... 21 3.1.5... 23

More information

ネットワークビデオレコーダー VK-64/VK-16/VK-Lite v2.2 セットアップガイド

ネットワークビデオレコーダー VK-64/VK-16/VK-Lite v2.2 セットアップガイド VK-64/VK-16/VK-Lite Ver. 2.2 VK-64 v2.2 VK-16 v2.2 ( VK-64/VK-16) VK-Lite v2.2 ( VK-Lite) VK-64/VK-16 VK-Lite 2 1. 2. 3. 4. 2. 3. ( ) ( ) canon.jp/webview Canon Canon Microsoft Windows Microsoft Internet

More information

matrox0

matrox0 Image processing products Hardware/Software Software Hardware INDEX 4 3 2 12 13 15 18 14 11 10 21 26 20 9 8 7 6 5 Hardware 2 MatroxRadient 3 MatroxSolios MatroxMorphis MatroxVio 10 MatroxOrionHD 11 MatroxConcord

More information

Oracle Application Server 10g( )インストール手順書

Oracle Application Server 10g( )インストール手順書 Oracle Application Server 10g (10.1.2) for Microsoft Windows J2EE Oracle Application Server 10g (10.1.2) for Microsoft Windows J2EE and Web Cache...2...3...3...4...6...6...6 OS...9...10...12...13...25...25

More information

total-all-nt.dvi

total-all-nt.dvi XI W I D E P R O J E C T 1 WIDE Reliable Multicast 1.1 TV 1 1 TCP WIDE JGN/JB SOI (DV) Reliable Multicast (RM) US Reliable Multicast IETF RMT-WG PGM Digital Fountain FEC Tornado Code Ruby Code 1.2 WIDE

More information

mobicom.dvi

mobicom.dvi 13Dynamic Voltage Scaling on a Low-Power Microprocessor Johan Pouwelse 5 Koen Langendoen Henk Sips Faculty of Information Technology and Systems Delft University of Technology, The Netherlands 1 78724

More information

ProLiant ML110 システム構成図

ProLiant ML110 システム構成図 HP ProLiant ML150 Generation 2 2006 9 12 1 OVERVIEW ProLiant ML150 Generation 2 ProLiant ML150 (SATA ) A B B C 3.5 1 6 3.5 SATA H () 4 SATA PCI (PCI-X PCI Express) 1 3.5 48 IDE CD-ROM Xeon ( ) ProLiant

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

VM-53PA1取扱説明書

VM-53PA1取扱説明書 VM-53PA1 VM-53PA1 VM-53 VM-53A VM-52 VM-52A VM-53PA1 VM-53PA1 VM-53A CF i ii VM-53 VM-53A VM-52 VM-52A CD-ROM iii VM-53PA1 Microsoft Windows 98SE operating system Microsoft Windows 2000 operating system

More information

2

2 www.infineon.com/ 2 3 4 5 Cordless Phone WDCT PA RF - base station Step 7 UART 8/16-bit µc bus EBU MIPS - 4kec 175 MHz Network Processor VINETIC-CL SDR controller ADM3120 10 / 100 Auto MDIX PHY Flash WAN

More information

07-二村幸孝・出口大輔.indd

07-二村幸孝・出口大輔.indd GPU Graphics Processing Units HPC High Performance Computing GPU GPGPU General-Purpose computation on GPU CPU GPU GPU *1 Intel Quad-Core Xeon E5472 3.0 GHz 2 6 MB L2 cache 1600 MHz FSB 80 GFlops 1 nvidia

More information

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

Cisco 10008 ESRのメンテナンス

Cisco 10008 ESRのメンテナンス CHAPTER Cisco 8 ESR Cisco 8 Edge Services Router ESR; Field-Replaceable Unit FRU Cisco 8 ESR FRU PEM Performance Routing Engine PRE OL-69-7-J Cisco 8 ESR - Cisco 8 ESR Situational Analysis 86 MTBF - Cisco

More information

EtherChannelの設定

EtherChannelの設定 CHAPTER 30 EtherChannel Catalyst 3750 2 3 EtherChannel EtherChannel EtherChannel EtherChannel EtherChannel EtherChannel EtherChannel p.30-2 EtherChannel p.30-11 EtherChannel PAgP LACP p.30-23 Catalyst

More information

system.pptx

system.pptx 2011/5/11 NAIST CPU CPU 4 (UNIX)# (Windows)#... # (1U, 2U, 4U etc.)# (E-ATX, micro-atx, mini-itx etc.)# # #...# BIOS ROM OS# CD, DVD# n #...# # Bernoulli model: p Gilbert-Elliott model: G: good state#

More information

2017 (413812)

2017 (413812) 2017 (413812) Deep Learning ( NN) 2012 Google ASIC(Application Specific Integrated Circuit: IC) 10 ASIC Deep Learning TPU(Tensor Processing Unit) NN 12 20 30 Abstract Multi-layered neural network(nn) has

More information

PROLIANT ML

PROLIANT ML PROLIANT ML530 2000 4 27 1 OVERVIEW ProLiant ML530 3.5 IDE CD-ROM LED Wide Ultra2 SCSI/Wide Ultra3 SCSI ( ) Wide Ultra2 SCSI/Wide Ultra3 SCSI 5.25 ( ) PCI () 0 11 ProLiant ML530 A 3.5 B C D (C D ) 3.5

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

.N...[..7...doc

.N...[..7...doc 1 2 3 STEP1 4 STEP2 STEP3 5 6 7 8 9 1 Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution of Solution

More information

00.目次_ope

00.目次_ope 816XL ii iii iv iv User Entry 1 3 v vi vii viii 1 1 C: >VTTERM 1- 1 1-3 1 1-4 1 1-5 1 1-6 1 1-7 1 1-8 1 1-9 1 1-10 C: >VTN 1 Host Name: 1-11 1 01 1-1 0.0.0.0 1 1-13 1 1-14 - -3 Port status and configuration

More information

PDW-75MD

PDW-75MD 3-270-633-02(1) PDW-75MD 2007 Sony Corporation m a b c 2 ... 2 6 6... 8... 8 1... 10... 10... 12... 13... 13... 19... 23 2... 25... 26... 27... 27... 28... 29... 29... 29... 30... 31... 33 3... 34... 34...

More information

,,.,,., II,,,.,,.,.,,,.,,,.,, II i

,,.,,., II,,,.,,.,.,,,.,,,.,, II i 12 Load Dispersion Methods in Thin Client Systems 1010405 2001 2 5 ,,.,,., II,,,.,,.,.,,,.,,,.,, II i Abstract Load Dispersion Methods in Thin Client Systems Noritaka TAKEUCHI Server Based Computing by

More information

Cell/B.E. BlockLib

Cell/B.E. BlockLib Cell/B.E. BlockLib 17 17115080 21 2 10 i Cell/B.E. BlockLib SIMD CELL SIMD Cell Cell BlockLib BlockLib NestStep libspe1 Cell SDK 3.1 libspe2 BlockLib Cell SDK 3.1 NestStep libspe2 BlockLib BlockLib libspe1

More information