Size: px
Start display at page:

Download ""

Transcription

1 1

2 : FPGA : HDL, Xilinx Vivado + Digilent Nexys4 (Artix-7 100T)

3 LSI / PC clock accurate /

4 Artix-7 XC7A100T Kintex-7 XC7K325T

5 : CAD Hands-on: HDL (Verilog) CAD (Vivado HLx)

6

7 : 28y4 : b6uy

8 LSI: / OP

9 LSI ( ) : (Moore s law) :

10 EUV ( )

11 LSI Yield Ramp-up Mask Cost Design Cost Million USD Process (nm) IC design costs at different process nodes Ilkka Tuomi, The Future of Semiconductor Intellectual Property Architectural Blocks in Europe, JRC European Commission, 2009

12 FPGA FPGA OK

13 Worldwide Wafer Capacity (8 eq, Million) mm 150mm 200mm 300mm 450mm mm Era: A New Opportunity for the Semiconductor Industry (4/25/2013) Future Fab Intl. Issue 45

14 : CPU/GPU Nintendo 3DS Nintendo DS Nintendo Wii U Nintendo Wii Xbox360 Sony Playstation Vita Sony PSP Sony Playstation 3 XBox360: IBM PowerPC (90, 65nm) Playstation 3: Cell BE (90, 65, 45nm) ARM / MIPS SoC LSI Million Units '08 '09 '10 '11 '12 '13 Annual Game Console Sales

15 PC 400 Notebook & Netbook Desktop 300 PC CPU LSI Million Units Intel fab 14nm (Broadwell / Skylake) '09 '10 '11 '12 '13 '14 '15 '16 Annual PC Shipments Source: IDC Press Release & Trefis Estimate (2013)

16 MPU (CPU) Sales Intel Samsung / Apple Freescale MediaTek Spreadtrum Qualcomm AMD TI Nvidia Broadcom 60000! Million USD , ,247 1,210 2,831 3,605 4,552 4,249 4,850 2,614 4,152 5,322 6, ,435 36,892 36,325 0 '11 '12 '13 Leading MPU Suppliers Source: IC Insights

17 One-time programmable in-system program

18 IC 74 IC TC74HC00/04

19 Glue Logic LSI IC

20 Programmable? RAM ROM UVEPROM EEPROM ( ) ( )!

21 Floating gate MOS-FET MOS-FET Source Drain EEPROM MOS-FET Source Drain EEPROM

22 PROM AND Programmable ROM (AND ) Input OR Output OR * AND/OR PLD

23 PAL, PLA: Programmable Array Logic PROM AND + OR PAL: AND + OR PLA: AND + OR 22V

24 GAL: Generic Array Logic PAL, PLA FF CLK Q Q GAL CLK Q Q (GAL22V10 )

25 CMOS LSI: ( )

26 SRAM: EEPROM: MOS-FET Antifuse:

27 A B C Y A B C Y (LUT: look-up table) A B C LUT Y A 0 0 B 1 0 Y C 0

28 CPLD FPGA Coarse-grain

29 CPLD: Complex PLD Product term PLD block PAL, GAL PAL, GAL EPROM PLD Block Switch Matrix

30 Gate array ULA: uncommitted logic array LSI

31 FPGA: Field Programmable Gate Array LUT 4-LUT Island-style

32 PLD FPGA

33 NEC DRP (Renesas STP Engine) Mem Mem Mem Mem Mem PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE Mem Control in Mem Mem PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE State Transition Controller PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE Mem Mem 8bit x 2 data in 8bit DMU 8bit ALU 8bit data out Mem PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE PE Mem Mem Mem Mem Mem Control out

34 Sea-of-gate CPLD

35 LSI PROM, PLD, FPGA

36 Web

37 FPGA Digilent Nexys 4 FPGA board (!) CAD: Vivado HLx Design Suite (Webpack edition)

38 CAD: Vivado HLx Design Suite (1) RHEL, SUSE, Cent OS 6.8, Ubuntu or Windows 7, 8.1, bit required

39 CAD: Vivado HLx Design Suite (2) WebPACK Design Edition System Edition IP SDK I/O IP System Generator for DSP

40 CAD: Vivado HLx Design Suite (3) Webpack: ( ) Design Edition: ($2,995-,1 year subscription) System Edition: ($4,795-, 1 year subscription) System Edition ( WebPack )

41 CAD: Vivado HLx Design Suite (4) Webpack OK

42 Vivado Xilinx University Program Nexys4 ( ) XUP

PLDとFPGA

PLDとFPGA PLDFPGA 2002/12 PLDFPGA PLD:Programmable Logic Device FPGA:Field Programmable Gate Array Field: Gate Array: LSI MPGA:Mask Programmable Gate Array» FPGA:»» 2 FPGA FPGALSI FPGA FPGA Altera, Xilinx FPGA DVD

More information

プログラマブル論理デバイス

プログラマブル論理デバイス 第 8 章プログラマブル論理デバイス 大阪大学大学院情報科学研究科今井正治 E-mail: imai@ist.osaka-u.ac.jp http://www-ise.ist.osaka-u.ac.jp/~imai/ 26/2/5 26, Masaharu Imai 講義内容 PLDとは何か PLA FPGA Gate Arra 26/2/5 26, Masaharu Imai 2 PLD とは何か

More information

C_PLD報告書要約_H doc

C_PLD報告書要約_H doc 14 4 26 PLD/FPGA PLDProgrammable Logic Device ASIC 1970 1 ROM( ROM) AND-OR PLD PROM AND-OR 1970 Signetics(Philips)MMI(Lattice) PLD MMI PAL TM (Programmable Array Logic)PAL TM OR PROM( ROM) / 1980 1CMOS

More information

Microsoft PowerPoint - FPGA

Microsoft PowerPoint - FPGA PLD と FPGA VLD 講習会 京都大学小林和淑 1 PLD FPGA って何 PLD: Programmable Logic Device プログラム可能な論理素子 FPGA: Field Programmable Gate Array 野外でプログラム可能な門の隊列? Field: 設計現場 Gate Array: 論理ゲートをアレイ上に敷き詰めたLSI MPGA: Mask Programmable

More information

? FPGA FPGA FPGA : : : ? ( ) (FFT) ( ) (Localization) ? : 0. 1 2 3 0. 4 5 6 7 3 8 6 1 5 4 9 2 0. 0 5 6 0 8 8 ( ) ? : LU Ax = b LU : Ax = 211 410 221 x 1 x 2 x 3 = 1 0 0 21 1 2 1 0 0 1 2 x = LUx = b 1 31

More information

「FPGAを用いたプロセッサ検証システムの製作」

「FPGAを用いたプロセッサ検証システムの製作」 FPGA 2210010149-5 2005 2 21 RISC Verilog-HDL FPGA (celoxica RC100 ) LSI LSI HDL CAD HDL 3 HDL FPGA MPU i 1. 1 2. 3 2.1 HDL FPGA 3 2.2 5 2.3 6 2.3.1 FPGA 6 2.3.2 Flash Memory 6 2.3.3 Flash Memory 7 2.3.4

More information

Microsoft PowerPoint - Lec pptx

Microsoft PowerPoint - Lec pptx Course number: CSC.T34 コンピュータ論理設計 Computer Logic Design 5. リコンフィギャラブルシステム Reconfigurable Systems 吉瀬謙二情報工学系 Kenji Kise, Department of Computer Science kise _at_ c.titech.ac.jp www.arch.cs.titech.ac.jp/lecture/cld/

More information

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM

組込みシステムシンポジウム2011 Embedded Systems Symposium 2011 ESS /10/20 FPGA Android Android Java FPGA Java FPGA Dalvik VM Intel Atom FPGA PCI Express DM Android Android Java Java Dalvik VM Intel Atom PCI Express DMA 1.25 Gbps Atom Android Java Acceleration with an Accelerator in an Android Mobile Terminal Keisuke Koike, Atsushi Ohta, Kohta Ohshima, Kaori

More information

main.dvi

main.dvi GameDeep GameDeep Game is (not) social /... 3 /... 11 /... 17 AMD : 2013 /... 21 GameDeep Propaganding License GameDeep GameDeep 2 Game is (not) social Not Social : 3 GameDeep 4 Game is (not) social Game

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション LSI Web Copyright 2005 e-trees.japan, Inc. all rights reserved. 2000 Web Web 300 Copyright 2005 e-trees.japan, Inc. all rights reserved. 2 LSI LSI ASIC Application Specific IC LSI 1 FPGA Field Programmable

More information

strtok-count.eps

strtok-count.eps IoT FPGA 2016/12/1 IoT FPGA 200MHz 32 ASCII PCI Express FPGA OpenCL (Volvox) Volvox CPU 10 1 IoT (Internet of Things) 2020 208 [1] IoT IoT HTTP JSON ( Python Ruby) IoT IoT IoT (Hadoop [2] ) AI (Artificial

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2015 年度 5 セメスター クラス D 計算機工学 5. 命令セットアーキテクチャ ( 教科書 6.1 節, 6.2 節 ) 大学院情報科学研究科鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域

More information

Product Guide

Product Guide Product Guide Digilent Inc. Digilent Inc. National Instruments 2000 Digilent Inc. Xilinx, AnalogDevices and Imagination Technology 70 1,000 Digilent Inc. Digilent Inc. Avnet EM Avnet EM Digilent Inc. ZedBoard,

More information

スライド 1

スライド 1 東北大学工学部機械知能 航空工学科 2019 年度クラス C D 情報科学基礎 I 5. 命令セットアーキテクチャ ( 教科書 6.1 節, 6.2 節 ) 大学院情報科学研究科 鏡慎吾 http://www.ic.is.tohoku.ac.jp/~swk/lecture/ 計算機の基本構成 メモリ プロセッサ データ領域 データデータデータ load store レジスタ PC プログラム領域 命令命令命令

More information

untitled

untitled ITRS2005 DFM STRJ : () 1 ITRS STRJ ITRS2005DFM STRJ DFM ITRS: International Technology Roadmap for Semiconductors STRJ: Semiconductor Technology Roadmap committee of Japan 2 ITRS STRJ 1990 1998 2000 2005

More information

untitled

untitled 13 Verilog HDL 16 CPU CPU IP 16 1023 2 reg[ msb: lsb] [ ]; reg [15:0] MEM [0:1023]; //16 1024 16 1 16 2 FF 1 address 8 64 `resetall `timescale 1ns/10ps module mem8(address, readdata,writedata, write, read);

More information

VLSI工学

VLSI工学 2008/1/15 (12) 1 2008/1/15 (12) 2 (12) http://ssc.pe.titech.ac.jp 2008/1/15 (12) 3 VLSI 100W P d f clk C V 2 dd I I I leak sub g = I sub + I g qv exp nkt exp ( 5. 6V 10T 2. 5) gd T V T ox Gordon E. Moore,

More information

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h

23 Fig. 2: hwmodulev2 3. Reconfigurable HPC 3.1 hw/sw hw/sw hw/sw FPGA PC FPGA PC FPGA HPC FPGA FPGA hw/sw hw/sw hw- Module FPGA hwmodule hw/sw FPGA h 23 FPGA CUDA Performance Comparison of FPGA Array with CUDA on Poisson Equation (lijiang@sekine-lab.ei.tuat.ac.jp), (kazuki@sekine-lab.ei.tuat.ac.jp), (takahashi@sekine-lab.ei.tuat.ac.jp), (tamukoh@cc.tuat.ac.jp),

More information

Microsoft Word コンピュータ関連知識

Microsoft Word コンピュータ関連知識 (1) 4 1. (supercomputer) 2. (mainframe) (large scale computer) (general purpose computer)] 3. (minicomputer) (midrange computer) Workstation,WS 4. (Personal Computer, PC) 24 room-size computer mainframe

More information

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1

26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA 272 11 05340 26 FPGA 11 05340 1 FPGA (Field Programmable Gate Array) ASIC (Application Specific Integrated Circuit) FPGA FPGA FPGA FPGA Linux FreeDOS skewed way L1 FPGA skewed L2 FPGA skewed Linux

More information

Spansion_Corporate_Presentation

Spansion_Corporate_Presentation 世界の半導体動向と 生き残りをかけた日本の半導体 株式会社スパンション イノベイツ デザイン代表取締役社長兼スパンション イノベイツ株式会社技術本部長代理独古康昭 1.Oct. 2013 1 2013 SIDL. 世界の半導体半導体動向 2 2013 SIDL. 半導体会社構造 Business R&D Products Customer Strength Weakness Model Fabless

More information

untitled

untitled 全 方 位 型 藝 夢 真 剣 考 察 誌 Vol.14 GameDeep main issue ゲーム 機 の 居 場 所 other 今 だからこその 次 世 代 ハードを 予 測 する ゲームは 壊 れ されども 直 る: Yspahan http://gamedeep.niu.ne.jp/ GameDeep GameDeep /... 3 /... 14 /... 18 GameDeep Propaganding

More information

! 行行 CPUDSP PPESPECell/B.E. CPUGPU 行行 SIMD [SSE, AltiVec] 用 HPC CPUDSP PPESPE (Cell/B.E.) SPE CPUGPU GPU CPU DSP DSP PPE SPE SPE CPU DSP SPE 2

! 行行 CPUDSP PPESPECell/B.E. CPUGPU 行行 SIMD [SSE, AltiVec] 用 HPC CPUDSP PPESPE (Cell/B.E.) SPE CPUGPU GPU CPU DSP DSP PPE SPE SPE CPU DSP SPE 2 ! OpenCL [Open Computing Language] 言 [OpenCL C 言 ] CPU, GPU, Cell/B.E.,DSP 言 行行 [OpenCL Runtime] OpenCL C 言 API Khronos OpenCL Working Group AMD Broadcom Blizzard Apple ARM Codeplay Electronic Arts Freescale

More information

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2

DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( ) DELPHINUS 2 30 1631158 1 29 () 1 DELPHINUS EQUULEUS 2019 NASA SLS FPGA ( 0.010.1 ) DELPHINUS 2 1 4 1.1............................................ 4 1.2 (Lunar Impact Flush)............................. 4 1.3..............................................

More information

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO

XC9500 ISP CPLD JTAG Port 3 JTAG Controller In-System Programming Controller 8 36 Function Block Macrocells to 8 /GCK /GSR /GTS 3 2 or 4 Blocks FastCO - 5ns - f CNT 25MHz - 800~6,400 36~288 5V ISP - 0,000 / - / 36V8-90 8 - IEEE 49. JTAG 24mA 3.3V 5V PCI -5-7 -0 CMOS 5V FastFLASH XC9500 XC9500CPLD 0,000 / IEEE49. JTAG XC9500 36 288 800 6,400 2 XC9500

More information

供給進化とマーケティング対応 : ゲーム産業を事例として

供給進化とマーケティング対応 : ゲーム産業を事例として Kwansei Gakuin University Rep Title 供 給 進 化 とマーケティング 対 応 : ゲーム 産 業 を 事 例 として Author(s) Ikeo, Kyoichi, 池 尾, 恭 一 Citation 商 学 論 究, 60(4): 41-83 Issue Date 2013-03-10 URL http://hdl.handle.net/10236/10465

More information

( ) : 1997

( ) : 1997 ( ) 2008 2 17 : 1997 CMOS FET AD-DA All Rights Reserved (c) Yoichi OKABE 2000-present. [ HTML ] [ PDF ] [ ] [ Web ] [ ] [ HTML ] [ PDF ] 1 1 4 1.1..................................... 4 1.2..................................

More information

デザインパフォーマンス向上のためのHDLコーディング法

デザインパフォーマンス向上のためのHDLコーディング法 WP231 (1.1) 2006 1 6 HDL FPGA TL TL 100MHz 400MHz HDL FPGA FPGA 2005 2006 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx,

More information

untitled

untitled ALTIRIS DEPLOYMENT SOLUTION 6.9 Quick Startup Guide Rev. 1.2 2008 7 11 1.... 2 DEPLOYMENT SERVER... 2 DEPLOYMENT CONSOLE... 2 DEPLOYMENT DATABASE... 2 DEPLOYMENT SHARE... 3 PXE SERVER... 3 DEPLOYMENT AGENT...

More information

1 2 ...6 1...7 1...7 2...10 3...11 4...16 5DVD/...16 6...17 7...19 8...21 2...28 1...28 2...29 3CATV...32 4TVTVTV...32 5DVD/...33 6...33 7...33 3...37...37 2...40 3...41 4...42 5...43 6...44 7...47 8...48

More information

( ) ( ) ( ) 2

( ) ( ) ( ) 2 (Basic Theory of Information Processing) 1 1 1.1 - - ( ) ( ) ( ) 2 Engineering Transformation or ( ) Military Transformation ( ) ( ) ( ) HDTV 3 ( ) or ( ) 4 5.609 (TSUBAME2.5, 11 (2014.6)) IP ( ) ( ) (

More information

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas

Original (English version) Copyright 2001 Semiconductor Industry Association All rights reserved ITRS 2706 Montopolis Drive Austin, Texas INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION EECA, European Electronic Component Manufacturers Association () JEITA, Japan Electronics and Information Technology Industries Association

More information

VLSI工学

VLSI工学 2008//5/ () 2008//5/ () 2 () http://ssc.pe.titech.ac.jp 2008//5/ () 3!! A (WCDMA/GSM) DD DoCoMo 905iP905i 2008//5/ () 4 minisd P900i SemiConsult SDRAM, MPEG4 UIMIrDA LCD/ AF ADC/DAC IC CCD C-CPUA-CPU DSPSRAM

More information

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1

IPSJ SIG Technical Report Vol.2013-ARC-203 No /2/1 SMYLE OpenCL (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 1 SMYLE OpenCL 128 1 1 1 1 1 2 2 3 3 3 (NEDO) IT FPGA SMYLEref SMYLE OpenCL SMYLE OpenCL FPGA 128 SMYLEref SMYLE OpenCL SMYLE OpenCL Implementation and Evaluations on 128 Cores Takuji Hieda 1 Noriko Etani

More information

システムオンチップ技術

システムオンチップ技術 (SoC) 2004/6/11 Yukihiro Nakamura e-mail: nakamura@kuee.kyoto-u.ac.jp u.ac.jp (VLSI) () VLSI DIPS IBM370 CPU MH MB GB DIPS-11201975 VAIO LSI Sony VAIO CPU MH MB GB Pentium () () V,S.,B Sun Micro

More information

はじめに

はじめに SFC ディスカッションペーパー SFC-DP 2009-005 ARM 社の競争力分析 佐藤淳史 慶應義塾大学大学院政策 メディア研究科修士課程修了 sato726@gmail.com 2009 年 7 月 1 1 2005 2 2 32 RISC 3 SuperHSH ARM ARM 2 ARM RISC 75% 4 5 ARM ARM SH IP 6 IP 7 3 SH ARM SH ARM

More information

Microsoft Word - archip.doc

Microsoft Word - archip.doc 131 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7-1 71 71 71 71 71 71 7-1 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71 7 1 71 71 71 71 71 71 7 1 71 71 71 71 71 71 71 71 71 71 71 71 71 71

More information

untitled

untitled MID Netbook MID Intel MID Intel vs. ARM MID Wintel Netbook PC PC PC PC PC Netbook PC PC Netbook ATOM Netbook Loox U. Netbook gssd Loox UX ATOM Loox U.. HDDSSD SSD Netbook Menlow ATOM Z USW MIDMobile Internet

More information

26102 (1/2) LSISoC: (1) (*) (*) GPU SIMD MIMD FPGA DES, AES (2/2) (2) FPGA(8bit) (ISS: Instruction Set Simulator) (3) (4) LSI ECU110100ECU1 ECU ECU ECU ECU FPGA ECU main() { int i, j, k for { } 1 GP-GPU

More information

プロセッサ・アーキテクチャ

プロセッサ・アーキテクチャ 2. NII51002-8.0.0 Nios II Nios II Nios II 2-3 2-4 2-4 2-6 2-7 2-9 I/O 2-18 JTAG Nios II ISA ISA Nios II Nios II Nios II 2 1 Nios II Altera Corporation 2 1 2 1. Nios II Nios II Processor Core JTAG interface

More information

User's Guide

User's Guide magicolor 2300 DL 1800687-014B magicolor 2300 DL Windows TCP/IP Web URL http://www.minolta-qms.co.jp/support/userreg/index.html QMS MINOLTA-QMS magicolor MINOLTA-QMS, Inc. Minolta Peerless Systems Corporation

More information

VHDL

VHDL VHDL 1030192 15 2 10 1 1 2 2 2.1 2 2.2 5 2.3 11 2.3.1 12 2.3.2 12 2.4 12 2.4.1 12 2.4.2 13 2.5 13 2.5.1 13 2.5.2 14 2.6 15 2.6.1 15 2.6.2 16 3 IC 17 3.1 IC 17 3.2 T T L 17 3.3 C M O S 20 3.4 21 i 3.5 21

More information

Taro12-イノベ-ション経営研究会

Taro12-イノベ-ション経営研究会 1 3 4 25. 31 46 54 63 63 71 79 90 BP 101 112 126 135 10 1990 21 1970 80 Made In America 80 90 1987 52 93 98-1 - (1) (2) (3) (4) - 2 - - 3 - ( 1980 1990 1990 10 21 PHP 1998. - 4 - 80 1976~1980 1987 52 1988

More information

Nokia Motorola RIM Apple Samsung Apple Samsung Apple Apple Samsung 2. スマートフォン 市 場 の 動 向 IDC Samsung Samsung Apple. Samsung Apple, Steve Jobs CEO Tim C

Nokia Motorola RIM Apple Samsung Apple Samsung Apple Apple Samsung 2. スマートフォン 市 場 の 動 向 IDC Samsung Samsung Apple. Samsung Apple, Steve Jobs CEO Tim C Samsung * 目 次 Samsung Apple Samsung Apple 1. 本 研 究 とその 背 景 PC ITU-T ITU * Apple iphone G Apple Apple Nokia Microsoft Google Motorola Lenovo Apple Apple iphone iphone OS ipod Touch PC MacOS Apple Apple

More information

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化

シリコン超集積化システム第165委員会 プログラマビリティを実現する アーキテクチャとその進化 1 PLD(Programmable Logic Device) とは ユーザが論理機能を決めることのできる IC のことです メモリや CPU ASIC 昔の 74 シリーズのような標準ディジタル IC はその機能が決まっていて これらはプログラマブルデバイスとは言いません CPU はソフトウェアで動作を変えられるので究極のプログラマブルデバイスだ という人も居ますが 一般的には専用目的 IC に分類されます

More information

: 50 10 10 1. : : 3 : 4 : 2 2. : 1946 1975 1 : load: store: : : ( ) ( ) : 101 x 101 ------------- 101 101 ------------ 11001 2 ( ): 32 32 1 32 : 32 ( ) 32 ( ) : log 2 32 : : ( F) ( D) E W 1 4 : F D E

More information

10 IDM NEC

10 IDM NEC No.29 1 29 SEAJ SEAJ 2 3 63 1 1 2 2002 2003 6 News 9 IEDM 11 13 15 16 17 10 IDM NEC 3 12 3 10 10 2 3 3 20 110 1985 1995 1988 912001 1 1993 95 9798 199010 90 200 2 1950 2 1950 3 1311 10 3 4 4 5 51929 3

More information

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は?

設計現場からの課題抽出と提言 なぜ開発は遅れるか?その解決策は? Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 1 WG1: NEC STARC STARC Work in Progress - Do not publish STRJ WS: March 4, 2004, WG1 2 WG1 ITRS Design System Drivers SoC EDA Work in Progress

More information

論理設計の基礎

論理設計の基礎 . ( ) IC (Programmable Logic Device, PLD) VHDL 2. IC PLD 2.. PLD PLD PLD SIC PLD PLD CPLD(Complex PLD) FPG(Field Programmable Gate rray) 2.2. PLD PLD PLD I/O I/O : PLD D PLD Cp D / Q 3. VHDL 3.. HDL (Hardware

More information

LP-M720F

LP-M720F K Q OS Windows Windows 7 EPSON EXCEED YOUR VISION Mac Macintosh Mac OS Apple Inc. Microsoft Windows Windows Server Windows Vista Microsoft Corporation Adobe Adobe Reader Adobe Systems Incorporated ...4...10...

More information

Nios II 簡易チュートリアル

Nios II 簡易チュートリアル Nios II Ver. 7.1 2007 10 1. Nios II Nios II JTAG UART LED 8 PIO LED < > Quartus II SOPC Builder Nios II Quartus II.sof Nios II IDE Stratix II 2S60 RoHS Nios II Quartus II http://www.altera.com/literature/lit-nio2.jsp

More information

スライド 1

スライド 1 swk(at)ic.is.tohoku.ac.jp 2 Outline 3 ? 4 S/N CCD 5 Q Q V 6 CMOS 1 7 1 2 N 1 2 N 8 CCD: CMOS: 9 : / 10 A-D A D C A D C A D C A D C A D C A D C ADC 11 A-D ADC ADC ADC ADC ADC ADC ADC ADC ADC A-D 12 ADC

More information

経39-3・4-04山本.indd

経39-3・4-04山本.indd http://dx.doi.org/. /keizai Q * 目次 Qualcomm 1. はじめに IEEE... Intel. Qualcomm Intel G CDMA Qualcomm GPP LTE LTE WiMAX./e LTE ITU GPP ETSI IEEE IMT- Intel Qualcomm IMT- * Qualcomm Qualcomm CDMA IEEE Intel

More information

ワールド・ワイド 9‐2/8.朴

ワールド・ワイド 9‐2/8.朴 186 100 40 12 Yoon, 2006. 1 2 IT 1997 IT GDP 1/3 IT IT 2/3 2003 2004 GDP 2 50 Kim Jung, 2005. 12 IT 1999 17.7 IMF 2004 IT 20.4 29.4 3 2005. 12. 26 IT CDMA LCD TV 4 1995 LG 7 IT 5 Lee, 2003 1996 CDMA 5

More information

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P

.,. 0. (MSB). =2, =1/2.,. MSB LSB, LSB MSB. MSB 0 LSB 0 0 P , 0 (MSB) =2, =1/2, MSB LSB, LSB MSB MSB 0 LSB 0 0 P61 231 1 (100, 100 3 ) 2 10 0 1 1 0 0 1 0 0 100 (64+32+4) 2 10 100 2 5, ( ), & 3 (hardware), (software) (firmware), hardware, software 4 wired logic

More information

An Interactive Visualization System of Human Network for Multi-User Hiroki Akehata 11N F

An Interactive Visualization System of Human Network for Multi-User Hiroki Akehata 11N F An Interactive Visualization System of Human Network for Multi-User Hiroki Akehata 11N8100002F 2013 3 ,.,.,.,,., (, )..,,,.,,.,, SPYSEE. SPYSEE,,., 2,,.,,.,,,,.,,,.,, Microsoft Microsoft PixelSense Samsung

More information

untitled

untitled PLD 10M 91 2005 200 40 150 1500 1M 100k 10k PLA EEPROM SPLD FPGA CPLD SRAM FPGA 1980 1990 2000 FPGA(Field Programmable Gate Array) LUT F.F LUT, SRAM IOB FPGA-SRAM PLD(Programmable Logic Device) CPLD(Complex

More information

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC

1: ITT-2 DDR2 1.8V,.V(F) Config. Mem. JTAG XCFPV048 LEDs SWs Clock (VariClock) DDR2 DDR2 DDR2 FPGA XC5VFX0T General-Purpose LEDs SWs XTAL (2.68kHz) MC 2009 ZEAL-C01 1 ZEAL ZEAL-C01 2 ITT-2 2 [1] 2 ITT-2 Bluetooth ZEAL-C01 ZEAL-S01 ITT-2 ZEAL IC FPGA (Field Programmable Gate Array) MCU (Microcontroller Unit) FPGA Xilinx Virtex-5 (XC5VFX0T) MCU Texas Instruments

More information

Windows XP Windows Me Windows 98 Second Edition Windows /... 25

Windows XP Windows Me Windows 98 Second Edition Windows /... 25 LVC-MPEG2/P_V01 PCI TV TUNER & VIDEO CAPTURE BOARD TV LVC-MPEG2/P ... 1... 3... 3... 4... 5... 5... 7... 8... 8... 10... 15 Windows XP... 15 Windows Me... 18 Windows 98 Second Edition... 19 Windows 2000...

More information

Power Calculator

Power Calculator 1 4... 4... 4... 5 6... 6... 6 isplever... 6... 7... 8... 8... 8 (NCD)... 9 (.vcd)... 10... 11...11... 12 Power Summary... 16 Logic Block... 19 Clocks... 20 I/O... 20 I/O Term... 21 Block RAM... 22 DSP...

More information

TULを用いたVisual ScalerとTDCの開発

TULを用いたVisual ScalerとTDCの開発 TUL を用いた Visual Scaler と TDC の開発 2009/3/23 原子核物理 4 年 永尾翔 目次 目的と内容 開発環境 J-Lab におけるハイパー核分光 Visual Scaler TDC まとめ & 今後 目的と内容 目的 TUL, QuartusⅡ を用いて実験におけるトリガーを組めるようになる Digital Logic を組んでみる 内容 特徴 TUL,QuartusⅡ

More information

Design at a higher level

Design at a higher level Meropa FAST 97 98 10 HLS, Mapping, Timing, HDL, GUI, Chip design Cadence, Synopsys, Sente, Triquest Ericsson, LSI Logic 1980 RTL RTL gates Applicability of design methodologies given constant size of

More information

untitled

untitled 1 CMOS 0.35um CMOS, 3V CMOS 2 RF CMOS RF CMOS RF CMOS RFCMOS (ADC Fabless 3 RF CMOS 1990 Abidi (UCLA): Fabless RF CMOS CMOS 90% 4 5 f T [GHz] 450 400 350 300 250 200 150 Technology loadmap L[nm] f T [GHz]

More information

Microsoft Word - sbnt40r7.doc

Microsoft Word - sbnt40r7.doc 1. Microsoft Windows NT4.0 Workstation/Server ( NT40) Sound Blaster 2. AT (DOS/V ) x86 NT40 3. NEC PC98 MIPS R Alpha PowerPC 4. NT40 DOS ( ) 5. [ ] [ ] [ ] 6. (1 5) ( ) 7. NT40 NT40 A J A. Sound Blaster

More information

Microsoft PowerPoint - slide

Microsoft PowerPoint - slide ディジタル回路設計の基礎 京都大学情報学研究科小林和淑 kobayasi@i.kyoto-u.ac.jp 内容 単相クロック完全同期回路 構成要素 D フリップフロップ 同期回路の性能 ハードウエア設計手法 論理設計手法の歴史 ハードウエア記述言語 RTL 設計 LSI の設計フロー セルベース設計とゲートアレイ PLD と FPGA 2 単相クロック完全同期回路 同期回路とは? 時間方向を同期パルス

More information

system.pptx

system.pptx 2011/5/11 NAIST CPU CPU 4 (UNIX)# (Windows)#... # (1U, 2U, 4U etc.)# (E-ATX, micro-atx, mini-itx etc.)# # #...# BIOS ROM OS# CD, DVD# n #...# # Bernoulli model: p Gilbert-Elliott model: G: good state#

More information

untitled

untitled A7p21138 1 1 3D SCE 2 SCE 3 2 4 VS VS 5 SNS SNS 6 3 1 CESA 07 2 9364 08 2 9327 7 06 2 Wii DS 3 PSP SCE 85 05 1 5000 07 09 4 4 PC NEC 3DO 02 05 Xbox360 NTT 04 DS 5 1958 70 1958 Tennis for Two 5 MIT 2 5

More information

2 1,384,000 2,000,000 1,296,211 1,793,925 38,000 54,500 27,804 43,187 41,000 60,000 31,776 49,017 8,781 18,663 25,000 35,300 3 4 5 6 1,296,211 1,793,925 27,804 43,187 1,275,648 1,753,306 29,387 43,025

More information

テストコスト抑制のための技術課題-DFTとATEの観点から

テストコスト抑制のための技術課題-DFTとATEの観点から 2 -at -talk -talk -drop 3 4 5 6 7 Year of Production 2003 2004 2005 2006 2007 2008 Embedded Cores Standardization of core Standard format Standard format Standard format Extension to Extension to test

More information

if clear = 1 then Q <= " "; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst =

if clear = 1 then Q <=  ; elsif we = 1 then Q <= D; end rtl; regs.vhdl clk 0 1 rst clear we Write Enable we 1 we 0 if clk 1 Q if rst = VHDL 2 1 VHDL 1 VHDL FPGA VHDL 2 HDL VHDL 2.1 D 1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; regs.vhdl entity regs is clk, rst : in std_logic; clear : in std_logic; we

More information

USB FDD ユーザーズマニュアル

USB FDD ユーザーズマニュアル Universal Serial Bus Interface External Floppy Disk Drive Unit USB FDD For USB FDD Driver CD-ROM P/N 139060-02 Copyright 1999-2001 Y-E Data, Inc. All Rights Reserved. USB FDD USB FDD USB FDD VCCI Adobe

More information

N manual_JP.PDF

N manual_JP.PDF NEC Express5800 - 1 - N8103-73 ESMPRO/ServerManagerServerAgent Power Console Plus Express5800 EXPRESSBUILDER Version 3.077-N(1) Version 3.078a-N 1): - 2 - Windows NT 4.0 Microsoft Windows NT Server 4.0

More information

IBM PureData

IBM PureData IBM Software Information Management IBM PureData System for Analytics 2 IBM PureData System for Analytics 2 2 3 2 5 - S-Blade 6 S-Blade - IBM FAST 7 7 8 10 11 11 IBM PureData System for Analytics 11 IBM

More information

Cyclone IIIデバイスのI/O機能

Cyclone IIIデバイスのI/O機能 7. Cyclone III I/O CIII51003-1.0 2 Cyclone III I/O 1 I/O 1 I/O Cyclone III I/O FPGA I/O I/O On-Chip Termination OCT Quartus II I/O Cyclone III I/O Cyclone III LAB I/O IOE I/O I/O IOE I/O 5 Cyclone III

More information

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介

支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 2009.3.10 支援財団研究活動助成 生体超分子を利用利用した 3 次元メモリデバイスメモリデバイスの研究 奈良先端科学技術大学院大学物質創成科学研究科小原孝介 研究背景研究背景研究背景研究背景データデータデータデータの種類種類種類種類データデータデータデータの保存保存保存保存パソコンパソコンパソコンパソコンパソコンパソコンパソコンパソコンデータデータデータデータデータデータデータデータ音楽音楽音楽音楽音楽音楽音楽音楽写真写真写真写真記録媒体記録媒体記録媒体記録媒体フラッシュメモリフラッシュメモリフラッシュメモリフラッシュメモリ動画動画動画動画

More information

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部

特集新世代マイクロプロセッサアーキテクチャ ( 後編 ) 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部 3. 実例 3 ユビキタス コンピューティング時代の組み込みマイクロコンピュータ, SuperH と M32R 清水徹 * 1 長谷川淳 * 2 服部俊洋 * 3 近藤弘郁 * 4 ( 株 ) ルネサステクノロジシステムソリューション統括本部システムコア技術統括部 * 1 shimizu.toru@renesas.com * 2 hasegawa.atsushi@renesas.com * 3 hattori.toshihiro@renesas.com

More information

untitled

untitled 1522800T-B FeliSafe /Lite NW Ver.5.0 2 2010 7 8 Yutaka Electric Mfg.Co.,Ltd. Windows NT / 2000 / XP / 2003 / Vista / 2008 / 7 Windows 95 / 98 / 98SE / ME Microsoft Corporation FeliSafe 1. 2. 3. 4. 5. 6.

More information

LP-S820

LP-S820 K Q OS Windows Windows 7 EPSON EXCEED YOUR VISION Mac Macintosh Mac OS Apple Inc. Microsoft Windows Windows Server Windows Vista Microsoft Corporation Adobe Adobe Reader Adobe Systems Incorporated ...4...

More information

Microsoft PowerPoint - Chap1 [Compatibility Mode]

Microsoft PowerPoint - Chap1 [Compatibility Mode] ディジタル設計 (A1) (Chap. 1) @ F301 http://www.ngc.is.ritsumei.ac.jp/~ger/lectures/digital2012/index.html 情報システム学科次世代コンピューティング研究室山下茂 ger@cs.ritsumei.ac.jp 0 目次 1. デジタル回路設計に関する概要の確認 基本的な用語 LSI 設計の流れ LSIの種類 現代用語の基礎知識ともいえます!

More information

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp)

DS90CP04 1.5 Gbps 4x4 LVDS Crosspoint Switch (jp) 1.5 Gbps 4x4 LVDS Crosspoint Switch Literature Number: JAJS984 1.5Gbps 4 4 LVDS 4 4 (LVDS) ( ) 4 4:1 4 1 MODE 4 42.5Gb/s LVDS 20010301 33020 23900 11800 ds200287 2007 12 Removed preliminary. Removed old

More information

Audiophile USB

Audiophile USB Audiophile USB User's Guide Version 4.0 Avid Technology K.K. M-Audio Macintosh Support : mac-support@m-audio.co.jp Windows Support : win-support@m-audio.co.jp www.m-audio.co.jp Audiophile USB Audiophile

More information

スライド 1

スライド 1 プログラマブルデバイスのうち FPGA(Field Programmable Gate Array) は 最近のディジタルデバイスの中でももっとも激しく成長を遂げました 簡単に設計ができ 非常に大きなディジタル回路でも搭載して動かすことができます モノによっては安価で使いやすくまさに夢のデバイスといっていいです 1 PLD(Programmable Logic Device) とは ユーザが論理機能を決めることのできる

More information

橡Webcamユーザーガイド03.PDF

橡Webcamユーザーガイド03.PDF Desktop On-Call Version 4 Webcam extension Pak for Windows Webcam extension Pak Desktop On-Call Version 4 Web PC i Desktop On-Call Version 4 PC PC Desktop On-Call Version 4 PC Windows 98 Windows 98SE Windows

More information

Microsoft PowerPoint - CompArch_Exercise3.pptx

Microsoft PowerPoint - CompArch_Exercise3.pptx 2018 年度 ( 平成 30 年度 ) 版 Ver. 2018-10-14a Course number: CSC.T363 コンピュータアーキテクチャ演習 (3) Computer Architecture Exercise(3) 情報工学系吉瀬謙二 Kenji Kise, Department co Computer Science kise_at_c.titech.ac.jp CSC.T363

More information

スライド タイトルなし

スライド タイトルなし (1) - E-Mail: katto@waseda.jp Y U V R G B (1/30 ) RGB / YUV = B G R V U Y 0.31 0.52 0.21 0.32 0.28 0.60 0.11 0.59 0.30 RGB YUV CCIR 601 4:4:4 4:2:2 4:2:0 Y Y Y U V U V U V YUVUV UV 4:2:0 4:2:2 (RGB8 )

More information

-1-1 1 1 1 1 12 31 2 2 3 4

-1-1 1 1 1 1 12 31 2 2 3 4 2007 -1-1 1 1 1 1 12 31 2 2 3 4 -2-5 6 CPU 3 Windows98 1 -3-2. 3. -4-4 2 5 1 1 1 -5- 50000 50000 50000 50000 50000 50000 50000 50000 50000 50000-6- -7-1 Windows 2 -8-1 2 3 4 - - 100,000 200,000 500,000

More information

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社

ADZBT1 Hardware User Manual Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Hardware User Manual Version 1.0 1/13 アドバンスデザインテクノロジー株式会社 Revision History Version Date Comment 1.0 2019/4/25 新規作成 2/13 アドバンスデザインテクノロジー株式会社 目次 1 Overview... 4 2 Block Diagram... 5 3 機能説明... 6 3.1 Power

More information

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション

非圧縮の1080p60ビデオをサポートする3Gbps SDIコネクティビティ・ソリューション LMH0340,LMH0341 Literature Number: JAJA432 SIGNAL PATH designer Tips, tricks, and techniques from the analog signal-path experts No. 113... 1-5...4... 7 1080p60 3Gbps SDI Mark Sauerwald, SDI Applications

More information

mate10„”„õŒì4

mate10„”„õŒì4 2002.10 1 2 3 4 2 LINE UP 31w 79w 3 4 LINE UP Windows XP Windows 98 Pentium 1.70GHz Pentium 1.80GHz Pentium 2A GHz Pentium 2.40GHz Pentium 2.53GHz 0 50 100 150 200 250 Processor:Pentium 4 processor 1.50

More information

SonicStage Ver. 2.0

SonicStage Ver. 2.0 3-263-346-01(1) SonicStage Ver. 2.0 SonicStage SonicStage 2004 Sony Corporation Windows SonicStage OpenMG Net MD ATRAC ATRAC3 ATRAC3plus Microsoft Windows Windows NT Windows Media Microsoft Corporation

More information

システムの政府調達に関する日米内外価格差調査

システムの政府調達に関する日米内外価格差調査 Table of Contents Executive Summary... 10 Methodology... 12... 13 PC... 13... 15... 17... 17... 18... 19 IT... 22 IT... 22 IT... 24 IT... 26 2001... 26 2002 IT... 33... 36... 36 List of Tables Table Page

More information

:

: 13191112191017191917 1911191912 12 141912101214 12171919191719121914 191914191011 12161314 15191211 101910191911 19141014 17191114151019121914 19151819171912 1219191416 12191217 191712101919:6 16 01379/04/016

More information

untitled

untitled 16 4 1 17 1 50 -1- -2- -3- -4- -5- -6- -7- 1 2-8- -9- -10- -11- Web -12- (1) (2)(1) (3) (4) (1)()(2) (3)(4) -13- -14- -15- -16- -17- -18- -19- -20- -21- -22- -23- (2)(1) (3) -24- -25- -26- -27- -28- -29-

More information

untitled

untitled 全 方 位 型 藝 夢 真 剣 考 察 誌 Vol.13 GameDeep main issue MMORPG デザインという 深 淵 other PS3 の 夢 と 現 実 双 六 の 果 てに 人 はゲームなるものを 知 るか 人 生 ゲームな 日 本 モノポリーなアメリカ 先 祖 返 りの 夢 の 失 敗 :アンリミテッド サガ 再 評 価 ゲーム 売 り 場 なんです これでも http://gamedeep.niu.ne.jp/

More information

Stratix IIIデバイスの外部メモリ・インタフェース

Stratix IIIデバイスの外部メモリ・インタフェース 8. Stratix III SIII51008-1.1 Stratix III I/O R3 SRAM R2 SRAM R SRAM RII+ SRAM RII SRAM RLRAM II 400 MHz R Stratix III I/O On-Chip Termination OCT / HR 4 36 R ouble ata RateStratix III FPGA Stratix III

More information

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo

3 SIMPLE ver 3.2: SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE (main memo 3 SIMPLE ver 3.2: 20190404 1 3 SIMPLE (SIxteen-bit MicroProcessor for Laboratory Experiment) 1 16 SIMPLE SIMPLE 2 SIMPLE 2.1 SIMPLE 1 16 16 (main memory) 16 64KW a (C )*(a) (register) 8 r[0], r[1],...,

More information