I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

Size: px
Start display at page:

Download "I2Cコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)"

Transcription

1 11? 2012? cv_ cv_ I 2 C コントローラは ボード上の集積回路との間の通信リンクを提供しています 温度センサ および電圧レベル変換などのようなアプリケーションから EEPROM A/D と D/A コンバータ コーデック および多くのマイクロプロセッサの種類に使用され シリアル データ ライン (SDA) とシリアル クロック (SCL) のシンプルな 2 線式のバスで構成されています ハード プロセッサ システム (HPS) は I 2 C バスとシリアルに通信するため システム ソフトウェアをイネーブルするための 4 つの I 2 C コントローラを提供しています 各 I 2 C コントローラは マスタ モードまたはスレーブ モードで動作できて 100 キロビット / 秒 (Kbps) までの標準モード または最大 400 Kbps までの高速モードをサポートしています これらの I 2 C コントローラは Synopsys DesignWare APB I 2 C(DW_apb_i2c) コントローラのインスタンスです 1 各 I 2 C コントローラは マスタ モードまたはスレーブ モードで動作するようにプログラムする必要があります マスタとスレーブが同時に動作することはサポートされていません I 2 C コントローラは 次の機能があります 400 Kbps までの最大クロック速度です 次の I 2 C の動作のいずれかです I 2 C システム内のマスタであり マスタとしてプログラムされます I 2 C システム内のスレーブであり スレーブとしてプログラムされます 7 ビットまたは 10 ビットのアドレッシングです 7 ビットおよび 10 ビットのアドレッシング モードの両方で リードおよびライトの組み合わせた形式のトランザクションの混合です バルク送信モードです 送信および受信のバッファです すべてのバス速度で待っているビットとバイトを処理します DMA ハンドシェーキング インタフェースです 2012? Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. Portions 2011 Synopsys, Inc. Used with permission. All rights reserved. Synopsys & DesignWare are registered trademarks of Synopsys, Inc. All documentation is provided "as is" and without any warranty. Synopsys expressly disclaims any and all warranties, express, implied, or otherwise, including the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, and any warranties arising out of a course of dealing or usage of trade. Paragraphs marked with the dagger ( ) symbol are Synopsys Proprietary. Used with permission. ISO 9001:2008 Registered Cyclone V Subscribe

2 20 2 I 2 C コントローラは スレーブ インタフェース I 2 C インタフェース およびそれら 2 つのインタフェース間でデータをバッファリングするための FIFO ロジックから構成されます ホスト プロセッサは 32 ビットのスレーブ インタフェースを介して I 2 C コントローラに関するデータ 制御 およびステータスの情報にアクセスします 図 20 1 に I 2 C コントローラのブロック図を示します I 2 C Controller MPU I 2 C Interface (to I/O Pins) Tx & Rx FIFO Tx & Rx Shift Control Interrupt Controller IRQ Clock Manager Rx Filter DMA Interface DMA Controller Register Block Reset Manager Slave Interface L4 Peripheral Bus I 2 C コントローラは 以下のモジュールとインタフェースで構成されています マスタがデータを直接読み出しまたは書き込みをするコントロールおよびステータス レジスタ (CSR) および DMA をアクセスすることができ CSR のアクセスと DMA 転送のスレーブ インタフェースです ステータス レベルと一緒に Rx FIFO と Tx FIFO バッファのレジスタ バンクとコントローラを保持する送信データおよび受信データ用 2 つの FIFO バッファです パラレル - シリアルおよびシリアル - パラレル変換するためのシフト ロジックです Rx シフト デザインにデータを受信し バイト形式でそれを抽出します Tx シフト I 2 C バス上の転送のために CPU によって供給されたデータを提示します Cyclone V

3 20 3 I 2 C プロトコルの実装を担当するコントロール ロジックです CPU を介さずにデータ転送を自動化するために DMA コントローラにハンドシェーキング信号を生成する DMA インタフェースです 実際の割り込みと割り込みフラグを生成する割り込みコントローラで それらを設定したりクリアしたりできます バス内の開始条件と停止条件 ( 例えば 開始 停止 およびアービトレーション ロスト ) などのイベントを検出するための受信フィルタです この項では I 2 C コントローラの機能動作を説明します I 2 C コントローラは 標準モード ( データ レートが 0 ~ 100 Kbps) または高速モード ( データ レートが 400 Kbps 以下 ) で動作することができます さらに 高速モード デバイスでは下位互換性があります インスタンスの場合 高速モード デバイスは 0 ~ 100 Kbps の I 2 C バス システム内の標準モード デバイスと通信することができます ただし 標準モード デバイスでは 上位互換性はなく それらはより高い転送速度に従うことができないため 予期不可能な状態が発生します したがって 高速モード I 2 C バス システムに組み込まれるべきではありません ユーザーは どの I 2 C コントローラも I 2 C バスに取り付けることができ すべてのデバイス間と情報を送受信して 任意のマスタとやりとりすることができます バス上に少なくとも 1 つのマスタ ( マイクロコントローラや DSP など ) が必要で 複数のマスタの場合もあり 所有権の調停が必要になります 複数のマスタおよびアービトレーションについては この章の後半で説明されています Cyclone V

4 20 4 ユーザーは ソフトウェアを介してどちらかのモードにするために I 2 C コントローラを制御することができます 他の I 2 C スレーブとの通信する I 2 C マスタのみ ; または 1 つ以上の I 2 C マスタとの通信する I 2 C スレーブのみ マスタはクロックを生成してデータ転送を制御します スレーブは マスタとの間での送信または受信のうちのいずれかを行います データの確認はデータを受信したデバイスによって送信され マスタまたはスレーブのどちらか一方です 前述したように I 2 C プロトコルは 複数のマスタが I 2 C バス上に存在することを可能にし バス権を決定するために アービトレーション手順を使用します 各スレーブには システム設計者によって決定される固有のアドレスがあります マスタがスレーブと通信する場合には マスタがデータを送信するかスレーブからデータを受信するか決定するスレーブのアドレスとコントロール ビット (R/W) を続いて マスタは START/RESTART 条件を送信します 次に スレーブがアドレスの後に応答 (ACK) パルスを送信します マスタ ( マスタ トランスミッタ ) がスレーブ ( スレーブ レシーバ ) に書き込む場合 レシーバは 1 バイトのデータを受信します マスタが STOP 条件で送信を終了するまで このトランザクションは続行されます マスタがスレーブ ( マスタ レシーバ ) から読み出す場合 スレーブ ( スレーブ トランスミッタ ) は 1 バイトのデータをマスタに送信し 次に マスタは ACK パルスを使用してトランザクションを通知します このトランザクションは 最終バイトの受信後のトランザクションの未確認 (NACK) によってマスタが送信を終了するまで継続し その後 マスタは RESTART 条件を発行した後に STOP 条件またはアドレスを他のスレーブに発行します 図 20 2 に I 2 C バス上のデータ転送の動作を示します ACK from Receiver P or R SDA MSB LSB ACK from Slave SCL S or R R or P Start or Restart Condition Byte Complete Interrupt within Slave SCL Held Low while Servicing Interrupts Stop & Restart Condition I 2 C コントローラは 同期シリアル インタフェースです SDA ラインは双方向信号であり STOP START および RESTART 条件を除いて SCL ラインが Low の間のみ変更します 出力ドライバは バス上でワイヤ AND 関数を実行するためのオープン ドレインまたはオープン コレクタです バス上のデバイスの最大数は 400 pf の最大容量の仕様のみによって制限されます データはバイト パッケージで送信されます Cyclone V

5 20 5 マスタとして動作している場合 送信 FIFO にデータを格納すると I 2 C コントローラが I 2 C バス上で START 条件を生成するようになります 空への送信 FIFO を許可すると I 2 C コントローラは I 2 C バス上で STOP 条件を生成します スレーブとして動作しているときは I 2 C コントローラは プロトコルに従って START および STOP 条件を生成しません しかし リード リクエストが I 2 C コントローラに対して行われる場合 リード データが供給されるまで SCL ラインを Low に保持します リード データがスレーブ I 2 C コントローラに提供されるか または I 2 C コントローラのスレーブが IC_ENABLE レジスタに 0 を書き込むことによってディセーブルされるまで I 2 C バスをストールします I 2 C コントローラは 7 ビットおよび 10 ビットのアドレッシング モードの両方で混合のリードおよびライトの組み合わせ形式のトランザクションをサポートしています I 2 C コントローラは 混合アドレスおよび混合アドレス形式をサポートしません つまり 10 ビットのアドレス トランザクションに続く 7 ビットのアドレス トランザクション ( またはその逆 ) の組み合わせ形式のトランザクションです 組み合わせ形式の転送を開始するために IC_CON レジスタの IC_RESTART_EN ビットが 1 に設定される必要があります この値を設定し マスタとして動作させる場合 I 2 C コントローラは I 2 C 転送を完了したとき 送信 FIFO をチェックし 次の転送を実行します この転送の方向が前回の転送と異なる場合 組み合わせ形式は 転送を発行するために使用されます 現在の I 2 C 転送が完了したときに送信 FIFO が空の場合 STOP が発行されて START 条件に続いて次の転送が発行されます この項では I 2 C コントローラのプロトコルについて説明します バスがアイドル状態のとき SCL と SDA 信号の両方は バス上のプルアップ抵抗を介して High にプルアップされます マスタがバス上で送信を開始する場合 マスタは START 条件を発行します SCL が 1 のとき これは SDA 信号の High から Low への遷移であると定義されます マスタが送信を終了する場合 マスタは STOP 条件を発行します これは SCL が 1 のとき SDA ラインの Low から High への遷移であると定義されます 図 20 3 に START 条件と STOP 条件のタイミングを示します データがバス上で送信されている場合 SCL が 1 のときに SDA ラインを安定する必要があります SDA SCL S P Start Condition Data Change Allowed Data Line Stable Data Valid Data Change Allowed Stop Condition Cyclone V

6 図 20 3 に示すように START または STOP 条件の信号遷移は I 2 C バスを駆動するマスタの出力信号で観察されたものが反映されています 不等ライン遅延が不正確な SDA または SCL のタイミングの関係で発生する可能性があるため スレーブの入力信号で SDA または SCL 信号を観察する際に注意が必要です 7 ビットのアドレス形式と 10 ビットのアドレス形式の 2 つのアドレス形式があります 7 ビットのアドレス形式の間に 図 20 4 に示すように 最初のバイトの最初の 7 ビット ( ビット 7:1) は R/W ビットのスレーブ アドレスと LSB ビット ( ビット 0) を設定します ビット 0(R/W) が 0 に設定されている場合 マスタはスレーブに書き込みます ビット 0(R/W) が 1 に設定されている場合 マスタはスレーブから読み出します S MSB LSB A6 A5 A4 A3 A2 A1 A0 R/W ACK S: Start Condition R/W: Read/Write Pulse ACK: Acknowledge (sent by slave) Slave Address 10 ビット アドレッシングの間 2 つのバイトは 10 ビットのアドレスを設定するために転送されます 最初の 5 ビット ( ビット 7:3) は スレーブ アドレス ビット 9:8 を設定し LSB ビット ( ビット 0) は R/W ビットであり これによって次の 2 ビット (2:1) に続く 10 ビットの転送であることをスレーブに通知します 転送された 2 つ目のバイトは スレーブ アドレスのビット 7:0 に設定されます 図 20 5 は 10 ビットのアドレス形式を示します S A9 A8 R/W ACK A7 A6 A5 A4 A3 A2 A1 A0 ACK Reserved for 10-Bit Address S: Start Condition R/W: Read/Write Pulse ACK: Acknowledge (sent by slave) Cyclone V

7 20 7 表 20 1 は 特殊な目的と予約の最初のバイト アドレスを定義しています ゼネラル コール アドレスです I 2 C コントローラは 受信バッファにデータを配置し ゼネラル コール割り込みを発行します にデータを配置します START バイトです 詳細は 20 9 ページの START BYTE 転送プロトコル を参照してください X CBUS アドレスです I 2 C コントローラはこれらのアクセスを無視します X 予約済み X 予約済み XX X 未使用 XX X 予約済み XX X 10 ビットのスレーブ アドレッシングです の注 : (1) X は気にしないことを示します マスタは マスタ トランスミッタまたはマスタ レシーバのどちらか一方として動作し バスとのデータ送信とデータ受信を開始することができます スレーブは それぞれスレーブ トランスミッタまたはスレーブ レシーバのどちらか一方として動作し バスとのデータの送信とデータの受信のために マスタからの要求に応答します すべてのデータは データ転送ごとに転送されるバイト数を制限せずに バイト形式で送信されます マスタがアドレスと R/W ビットを送信する またはマスタがスレーブへのデータのバイトを送信した後 スレーブ レシーバはアクノリッジ確認信号 (ACK) で応答する必要があります スレーブ レシーバが ACK パルスで応答しない場合には マスタは STOP 条件を発行することによって転送を中止します スレーブは マスタが転送を中断できるように SDA ラインを High のままにします Cyclone V

8 20 8 図 20 6 に示すように マスタ トランスミッタがデータを送信する場合 データのすべてのバイトが受信された後 スレーブ レシーバは ACK パルスでマスタ トランスミッタに応答します 7-Bit Address S Slave Address R/W A Data A Data A/A P 10-Bit Address Slave Address S First 7 Bits 0 (Write) R/W A Slave Address Second Byte A Data A/A P 11110xxx 0 (Write) S: Start Condition P: Stop Condition R/W: Read/Write Pulse A: Acknowledge (SDA Low) A: No Acknowledge (SDA High) From Master to Slave From Slave to Master 図 20 7 に示すように マスタがデータを受信している場合 最後のバイトを除いて データのバイトが受信された後 マスタは ACK パルスを使用してスレーブ トランスミッタに応答します これは これが最後のバイトであることをマスタ レシーバによってスレーブ トランスミッタに通知する方法です スレーブ トランスミッタは マスタが STOP 条件を発行できるように 未確認 (NACK) を検出後に SDA ラインを放棄します マスタが STOP 条件でバスを放棄しない場合 マスタは RESTART 条件を発行することができます それは ACK パルス後に発生することを除いて START 条件と同じです マスタ モードで動作すると I 2 C コントローラは 異なる方向の転送を使用して同じスレーブとの通信を行うことができます I 2 C コントローラがサポートする組み合わせ形式のトランザクションについて詳しくは 20 5 ページの 組み合わせ形式 を参照してください Cyclone V

9 IC_TAR のターゲット スレーブ アドレス レジスタが再プログラムされる前に I 2 C コントローラは シリアル ポート (I2C_DYNAMIC_TAR_UPDATE = 1) 上で非アクティブでなければなりません 7-Bit Address S Slave Address R/W A Data A Data A P 10-Bit Address S Slave Address First 7 bits 1 (Read) R/W A Slave Address Second Byte A R Slave Address First 7 bits R/W A Data A P 11110xxx 0 (Write) 11110xxx 1 (Read) S: Start Condition R: Restart Condition P: Stop Condition R/W: Read/Write Pulse A: Acknowledge (SDA Low) A: No Acknowledge (SDA High) From Master to Slave From Slave to Master START BYTE 転送プロトコルは オンボード専用の I 2 C ハードウェア モジュールを持たないシステム用に設定されています I 2 C コントローラがスレーブとして設定される場合 常にサポートされた最高速度で I 2 C バスをサンプルするため START BYTE 転送が必要ありません しかし I 2 C コントローラがマスタとして設定される場合 それは スレーブ デバイスがそれを必要とすると 各転送の開始時に START BYTE 転送の生成をサポートしています このプロトコルは 図 20 8 に示すように 1 に続いて送信されている 7 つのゼロで構成されます これは マイクロコントローラが 0 を検出するまで バスをポーリングしているプロセッサがアドレス フェーズをアンダー サンプルできるようにします マイクロコントローラが 0 を検出すると アンダー サンプリング レートからマスタの正しいレートに切り替わります SDA Dummy Acknowledge (High) SCL S Sr ACK Start Byte START BYTE は 次の手順があります 1. マスタは START 条件を生成します 2. マスタは START バイト ( ) を送信します 3. マスタは ACK クロック パルスを送信します ( バス上で使用されるバイト処理形式に準拠するようにのみ存在 ) Cyclone V

10 ACK 信号を 0 に設定するスレーブはありません 5. マスタは RESTART (R) 条件を生成します ハードウェアのレシーバは 予約されたアドレスであり RESTART 条件が生成された後にリセットされているため START BYTE に応答しません I 2 C コントローラのバス プロトコルでは 複数のマスタが同じバス上に存在することができます 同じ I 2 C バス上に 2 つのマスタが存在する場合 両方が同時に START 条件を生成することによって同時にバスを制御しようとする場合 アービトレーション手順があります マスタ ( 例えば マイクロコントローラ ) にバスの制御がある場合 最初のマスタが STOP 条件を送信してバスがアイドル状態になるまで 他のマスタが制御をすることはできません SCL ラインが 1 の間に アービトレーションは SDA ライン上で行われます 他のマスタが 0 を送信しながら 1 を送信するマスタは アービトレーションを失い そのデータの出力ステージをオフにします アービトレーションを失ったマスタは バイト転送が終了するまでのクロックを生成し続けることができます マスタの両方が同じスレーブ デバイスをアドレスする場合 アービトレーションはデータ フェーズに行くことができます それは別のマスタにアービトレーションを失ったことを検出すると I 2 C コントローラは SCL の生成を停止します 図 20 9 に 2 つのマスタがバス上でアービトレーションされたときのタイミングを示します DATA1 MSB 1 DATA1 Loses Arbitration DATA2 MSB Matching Data 0 SDA Mirrors DATA2 SDA MSB SCL SDA Lines Up with DATA1 Start Condition バス コントロールは アドレスまたはマスタ コードおよび競合するマスタによって送信されたデータによって決定されるので バスには 中心的なマスタも優先順位による順序はありません アービトレーションは 以下の条件の間で許可されていません Cyclone V

11 20 11 A RESTART 条件とデータ ビットの間 A STOP 条件とデータ ビットの間 A RESTART 条件と STOP 条件の間 i スレーブはアービトレーション プロセスに関与していません 2 つ以上のマスタが同時にバスの情報を転送しようとすると SCL クロックをアービトレーションして同期する必要があります すべてのマスタは メッセージを転送するために独自のクロックを生成します データは SCL クロックの High 期間中でのみ有効です クロック同期化は SCL 信号にワイヤ AND 接続を使用して実行されます マスタが SCL クロックを 0 に遷移すると マスタは SCL クロックの Low 時間のカウントを開始し 次のクロック周期の最初で SCL クロック信号を 1 に遷移します しかし 他のマスタが 0 に SCL ラインを保持している場合 マスタは SCL クロック ラインが 1 に遷移するまで HIGH 待ち状態に入ります 次に すべてのマスタは自分の High 時間をカウント オフして 最短 High 時間を持つマスタは SCL ラインを 0 に遷移します また マスタは Low 時間をカウントして 最長 Low 時間を持つマスタは 他のマスタを強制的に High 待ち状態に入ります そのため 図 に示すように 同期化された SCL クロックが生成されます 必要に応じて スレーブは I 2 C バス上のタイミングを遅くする SCL ラインを Low に保持することができます Wait State Start Counting High Period CLKA CLKB SCL SCL Low Transition Resets All Clocks; Start Counting Their Low Periods SCL Transitions High When All Clocks Are in a High State Cyclone V

12 20 12 I 2 C コントローラをマスタとして構成する場合 すべての I 2 C バス トランザクションが適切な I/O タイミングを確保するために実行できる前に SCL カウント レジスタを設定する必要があります 次の 4 つの SCL カウント レジスタがあります 標準速度の I 2 C クロック SCL High カウント IC_SS_SCL_HCNT 標準速度の I 2 C クロック SCL Low カウント IC_SS_SCL_LCNT 高速度 I 2 C クロック SCL High カウント IC_FS_SCL_HCNT 高速度 I 2 C クロック SCL Low カウント IC_FS_SCL_LCNT 1 これらのレジスタは I 2 C マスタとして動作するための SCL のタイミング要件を決定するためにのみ使用されているので I 2 C コントローラは I 2 C スレーブとしてのみ動作するように有効になっている場合 SCL カウント レジスタのいずれかをプログラムする必要はありません I 2 C コントローラが送信転送および受信転送の両方で I 2 C マスタとして動作する場合 SCL の High カウント レジスタの許容される最小値が 6 である間に SCL の Low カウント レジスタにプログラムできる最小値は 8 になります Low カウント レジスタの 8 の最小値は SCL のネガティブ エッジ後に SDA を駆動するための I 2 C コントローラに必要な時間のためです High カウント レジスタの 6 の最小値は SCL の High 期間中に SDA をサンプルする I 2 C コントローラに必要な時間のためです I 2 C コントローラは SCL クロックの Low 期間を生成するために Low カウント レジスタの値に 1 サイクルが追加されます I 2 C コントローラは SCL クロックの High 期間を生成するために High カウント レジスタの値に 7 サイクルを追加します これは 以下の要因によるものです SCL ラインに適用されるデジタル フィルタリングは 4 つの l4_sp_clk サイクルの遅延が発生します このフィルタリングは メタステーブルの除去および SDA と SCL のエッジで 2 アウトオフ 3 多数決処理が含まれています SCL は I 2 C コントローラによって 1 を 0 に駆動されるたびに つまり SCL の High 時間が完了すると 3 つの l4_sp_clk サイクルの内部ロジック レイテンシが発生します その結果 最小 SCL の High 時間は 13(13)l4_sp_clk 期間 ( ) であり I 2 C コントローラが対応可能な最小の SCL の Low 時間は 9(9)l4_sp_clk 期間 (8+1) です 以下の計算は I 2 C コントローラ内の各スピード モードの SCL による High と Low の数の計算方法の例を示しています Cyclone V

13 20 13 適切な SCL クロックの High 時間と Low 時間を設定するために必要な l4_sp_clk クロック パルスの適切な数を計算する式は 次のとおりです IC_HCNT = ceil(min_scl_hightime*oscfreq) IC_LCNT = ceil(min_scl_lowtime*oscfreq) MIN_SCL_HIGHtime = minimum high period MIN_SCL_HIGHtime = 4000 ns for 100 kbps 600 ns for 400 kbps 60 ns for 3.4 Mbs, bus loading = 100pF 160 ns for 3.4 Mbs, bus loading = 400pF MIN_SCL_LOWtime = minimum low period MIN_SCL_LOWtime = 4700 ns for 100 kbps 1300 ns for 400 kbps 120 ns for 3.4Mbs, bus loading = 100pF 320 ns for 3.4Mbs, bus loading = 400pF OSCFREQ = l4_sp_clk clock frequency (Hz) For example: OSCFREQ = 100 MHz I2Cmode = fast, 400 kbps MIN_SCL_HIGHtime = 600 ns MIN_SCL_LOWtime = 1300 ns IC_HCNT = ceil(600 ns * 100 MHz) IC_HCNTSCL PERIOD = 60 IC_LCNT = ceil(1300 ns * 100 MHz) IC_LCNTSCL PERIOD = 130 Actual MIN_SCL_HIGHtime = 60*(1/100 MHz) = 600 ns Actual MIN_SCL_LOWtime = 130*(1/100 MHz) = 1300 ns I 2 C プロトコル仕様では 標準モードと高速モードの SDA 信号では 300 ns のホールド時間を必要とします SCL 信号と SDA 信号上のボード遅延は I 2 C マスタでホールド時間の要件が I 2 C スレーブではなく I 2 C マスタで満たされている ( またはその逆 ) ことを意味します 各アプリケーションは 異なるボード遅延が発生するため I 2 C コントローラは SDA ホールド時間の動的な調整を可能にするためにソフトウェア プログラム可能なレジスタの IC_SDA_HOLD が含まれています Cyclone V

14 20 14 f データ読み出し準備ができている場合 または送信 FIFO がデータを必要としている場合 I 2 C コントローラは そのことを示す DMA シグナリングをサポートしています このサポートでは 2 つの DMA チャネル (1 つは送信データ用で もう 1 つは受信データ用 ) を必要とします I 2 C コントローラは シングル DMA 転送とバースト DMA 転送をサポートしています システム ソフトウェアは スレッショルド レジスタに適切な値をプログラミングすることによって DMA バースト モードを選択できます FIFO スレッショルド レジスタ値の推奨設定はハーフ フルです I 2 C コントローラ上で DMA コントローラのインタフェースをイネーブルするために DMA コントロール レジスタ (DMACR) ビットに書き込む必要があります DMACR レジスタの TDMAE ビット フィールドに 1 を書き込むと I 2 C コントローラはハンドシェイク インタフェースを送信可能になります DMACR レジスタの RDMAE ビット フィールドに 1 を書き込むと I 2 C コントローラはハンドシェイク インタフェースを受信可能になります DMA コントローラについて詳しくは Cyclone V デバイス ハンドブック Volume 3 の DMA Controller の章を参照してください 各 I 2 C コントローラは 標準モードおよび高速モードで転送する l4_sp_clk クロックに接続されています クロック入力はクロック マネージャによって駆動されます f 詳細は Cyclone V デバイス ハンドブック Volume 3 の Clock Manager の章を参照してください 各 I 2 C コントローラは 独立したリセット信号があります リセット マネージャはコールド リセットまたはウォーム リセット時に信号を駆動します f 詳細は Cyclone V デバイス ハンドブック Volume 3 の Reset Manager の章を参照してください I 2 C コントローラのすべてのインスタンスは マルチプレクサ ピンを介して外部のピンに接続します マルチプレクサ ピンは すべてのインスタンスが同時に独立して機能することを可能にします ピンは プルアップ抵抗に接続する必要があり I 2 C バスのキャパシタンスは 400 pf を超えることはできません 表 20 2 に I 2 C コントローラ インタフェースの I/O ピンの使用を示します SCL 1 ビット 双方向 シリアル クロック SDA 1 ビット 双方向 シリアル データ Cyclone V

15 20 15 この項では 2 つのマスタとスレーブの動作モードに基づいて I 2 C コントローラ用のプログラミング モデルについて説明します 1 各 I 2 C コントローラは I 2 C マスタのみまたは I 2 C スレーブのみ ( 同時に両方を行うことはできない ) として動作するように設定する必要があります IC_CON レジスタのビット 6(IC_SLAVE_DISABLE) とビット 0(IC_MASTER_MODE) は それぞれ 0 と 1 に設定されないことを確認します この項では スレーブ モードの手順について説明します I 2 C コントローラをスレーブとして使用するには 次の手順を実行します 1. IC_ENABLE レジスタのビット 0 に 0 を書き込むことによって I 2 C コントローラをディセーブルします 2. スレーブ アドレスを設定するために IC_SAR レジスタ ( ビット 9:0) に書き込みます これは I 2 C コントローラが応答するアドレスです 1 I 2 C コントローラのスレーブ アドレスのリセット値は 0x55 です 0x55 をスレーブ アドレスとして使用している場合 安全にこの手順を省略できます 3. サポートされるアドレッシングのタイプ ( ビット 3 を設定することによって 7 ビットまたは 10 ビット ) を指定するために IC_CON レジスタに書き込みます ビット 6(IC_SLAVE_DISABLE) に 0 およびビット 0(MASTER_MODE) に 0 を書き込むことによって スレーブ専用モードで I 2 C コントローラをイネーブルします 1 スレーブおよびマスタは 7 ビットまたは 10 ビットのアドレッシングと同じタイプでプログラムされる必要はありません インスタンスの場合 スレーブは 7 ビットのアドレッシングでプログラムされ マスタは 10 ビットのアドレッシングでプログラム ( またはその逆 ) できます 4. IC_ENABLE レジスタのビット 0 に 1 を書き込むことによって I 2 C コントローラをイネーブルします バス上の別の I 2 C マスタ デバイスが I 2 C コントローラを対処し データを要求する場合 I 2 C コントローラは スレーブ トランスミッタとして動作し 次の手順が発生します 1. 他の I 2 C マスタ デバイスは I 2 C コントローラの IC_SAR レジスタのスレーブ アドレスと一致するアドレスを持っている I 2 C 転送を開始します 2. I 2 C コントローラは送信されたアドレスを認識して それがスレーブ トランスミッタとして動作していることを示すために 転送の方向を認識します Cyclone V

16 I 2 C コントローラは RD_REQ 割り込み (IC_RAW_INTR_STAT レジスタのビット 5) をアサートし ソフトウェアが応答するのを待ちます RD_REQ 割り込みがマスクされている場合は IC_INTR_MASK レジスタ (M_RD_REQ ビット フィールド ) のビット 5 が 0 に設定されているため CPU が IC_RAW_INTR_STAT レジスタの定期的なリードを実行するために指示することを推奨します a. IC_RAW_INTR_STAT レジスタ (R_RD_REQ ビット フィールド ) のビット 5 が 1 に設定されるリードは アサートされている RD_REQ 割り込みと同等のものとして扱われなければなりません b. 次に ソフトウェアによって I 2 C 転送を満たすために動作する必要があります c. 使用するタイミング間隔は I 2 C コントローラが処理できる最速の SCL クロック周期の 10 倍でなければなりません 例えば 400 kbps に対してタイミング間隔は 25 us です 1 I 2 C バス上で転送されるデータのシングル バイトに必要な時間であるため ここでは 10 の値が推奨されます 4. リード要求を受信する前に TX FIFO 内にデータが残っている場合 I 2 C コントローラは TX FIFO から古いデータをフラッシュするために TX_ABRT 割り込み (IC_RAW_INTR_STAT レジスタのビット 6) をアサートします 1 TX_ABRT イベントが発生するたびに I 2 C コントローラの TX FIFO がフラッシュ / リセット状態に強制されるので TX FIFO への書き込みが試みられる前に ソフトウェアは IC_CLR_TX_ABRT レジスタを読み出すことによって I 2 C コントローラをこの状態からリリースする必要があります 詳細は レジスタ マップの C_RAW_INTR_STAT レジスタの説明を参照してください TX_ABRT 割り込みがマスクされている場合には IC_INTR_MASK[6] レジスタ (M_TX_ABRT ビット フィールド ) が 0 に設定されているので CPU が IC_RAW_INTR_STAT レジスタの定期的な読み出しを実行することを推奨します a. ビット 6(R_TX_ABRT) が 1 に設定される読み出しは アサートされている TX_ABRT 割り込みと同等のものとして扱われなければなりません b. ソフトウェアからそれ以上の動作は必要ありません c. 使用するタイミング間隔は IC_RAW_INTR_STAT[5] レジスタの前の手順で説明したのと同様である必要があります 5. ソフトウェアは 書き込まれるべきデータを IC_DATA_CMD レジスタの DAT ビットに書き込み ビット 8 に 0 を書き込みます 6. ソフトウェアは 動作を進める前に IC_RAW_INTR_STAT レジスタの RD_REQ と TX_ABRT 割り込み ( それぞれビット 5 とビット 6) をクリアする必要があります RD_REQ および / または TX_ABRT 割り込みがマスクされている場合 R_RD_REQ または R_TX_ABRT ビットのいずれかが 1 として読み出されていると IC_RAW_INTR_STAT レジスタのクリアがすでに実行されたようになります 7. I 2 C コントローラはバイトを送信します Cyclone V

17 マスタは RESTART 条件を発行することによって I 2 C バスを保持する または STOP 条件を発行することによってバスを解放することができます バス上の別の I 2 C マスタ デバイスが I 2 C コントローラを対処し データを送信している場合 I 2 C コントローラは スレーブ レシーバとして動作し 次の手順が発生します 1. 他の I 2 C マスタ デバイスは I 2 C コントローラの IC_SAR レジスタのスレーブ アドレスと一致するアドレスを持っている I 2 C 転送を開始します 2. I 2 C コントローラは送信されたアドレスを認識して それがスレーブ レシーバとして動作していることを示すために 転送の方向を認識します 3. I 2 C コントローラは 送信されたバイトを受信して 受信バッファに格納します 1 RX FIFO がバイトがプッシュされている時に完全にデータで満たされている場合は オーバーフローが発生し I 2 C コントローラは 後続の I 2 C 転送が継続されます NACK が生成されないため ソフトウェアは I 2 C コントローラ (IC_INTR_STAT レジスタの R_RX_OVER ビットによる ) で示されているときにオーバーフローを認識し 失われたデータから回復するために適切な行動を取る必要があります したがって リモート送信マスタに圧力を再適用する方法はないため 後者のオーバーフローする前に RX FIFO にサービスを提供するためにソフトウェア上でリアル タイムの制約があります 4. I 2 C コントローラは RX_FULL 割り込み (IC_RAW_INTR_STAT[2] レジスタ ) をアサートします I RX_FULL 割り込みがマスクされている場合には IC_INTR_MASK[2] レジスタが 0 に設定されている または IC_TX_TL が 0 より大きい値に設定されているため CPU が IC_STATUS レジスタの定期的なリードを実行することを推奨します ビット 3 (RFNE) が 1 に設定される IC_STATUS レジスタの読み出しは ソフトウェアによってアサートされている RX_FULL 割り込みと同等のものとして扱われなければなりません 5. ソフトウェアは IC_DATA_CMD レジスタ ( ビット 7:0) からバイトを読み出すことができます 6. 他のマスタは RESTART 条件を発行することによって I 2 C バスを保持する または STOP 条件を発行することによってバスを解放することができます Cyclone V

18 20 18 標準の I 2 C プロトコルでは すべてのトランザクションはシングル バイトのトランザクションであり プログラマは スレーブの TX FIFO に 1 バイトを書き込むことによって リモート マスタのリード リクエストに応答します スレーブ ( スレーブ トランスミッタ ) がリモート マスタ ( マスタ レシーバ ) からのリード リクエスト (RD_REQ) で発行された場合 最低でスレーブ トランスミッタの TX FIFO に少なくとも 1 つのエントリがあり必要があります I 2 C コントローラは 後続のリード リクエストがより多くのデータを要求するために割り込みを発生させずに そのデータを受信できるように TX FIFO 内により多くのデータを処理するためにデザインされます 究極的には TX FIFO にある唯一のエントリに制限がある場合 データ割り込み中に発生する重要なレイテンシの可能性を排除します I 2 C コントローラがスレーブ トランスミッタとして動作しているときにのみ このモードが発生します リモート マスタがスレーブ トランスミッタによって送信されたデータを認識しており スレーブの TX FIFO 内にデータが存在しない場合 I 2 C コントローラは リード リクエストの割り込み (RD_REQ) を発生してデータがリモート マスタに送信される前に TX FIFO に書き込まれるデータを待機します RD_REQ 割り込みがマスクされている場合 IC_INTR_STAT レジスタのビット 5 (M_RD_REQ) が 0 に設定されているため CPU が IC_RAW_INTR_STAT レジスタの定期的な読み出しを実行することを推奨します ビット 5(R_RD_REQ) が 1 に設定された IC_RAW_INTR_STAT の読み出しは この項で参照される RD_REQ 割り込みと同等のものとして扱われなければなりません RD_REQ 割り込みはリード リクエストに応じて発生し 割り込みサービス処理ルーチン (ISR) を終了するとき割り込みと同様にクリアする必要があります ISR は TX FIFO に 1 バイトまたは 1 バイト以上のいずれかを書き込むことができます マスタにこれらのバイトの送信中に マスタが最後のバイトを確認する場合 マスタがデータを要求しているため スレーブは再び RD_REQ を発行する必要があります リモート マスタが n バイトのパケットを要求していることをプログラマが事前に知っている場合 他のマスタが I 2 C コントローラに対処してデータを要求するとき TX FIFO は n 個のバイトで書き込まれている可能性があって リモート マスタはデータの連続ストリームとして受信します 例えば リモート マスタがデータ送信を確認していて TX FIFO に使用可能なデータがある限り I 2 C コントローラ スレーブはリモート マスタに対してデータを送信し続けます RD_REQ を再発行する必要はありません リモート マスタが I 2 C コントローラから n バイトを受信することになっているのに プログラマが TX FIFO に n より大きいバイト数を書き込んでいる場合 要求されている n バイトをスレーブが送信し終わったとき TX FIFO をクリアして余分なバイトを無視します この例では I 2 C コントローラは TX FIFO のクリアを示すために送信中止 (TX_ABRT) イベントを生成します ACK/NACK が期待されているときに NACK を受信した場合 リモート マスタは 必要となるすべてのデータを持っています このとき TX FIFO に残っているデータを消去するためにスレーブのステート マシン内にフラグが発生します このフラグは FIFO が存在して TX FIFO の内容がその時点でクリアされているプロセッサ バス クロック ドメインに転送されます この項では マスタ モードの手順について説明します Cyclone V

19 20 19 マスタ モード動作の場合 ターゲット アドレスとアドレス形式は I 2 C コントローラをディセーブルすることなくダイナミックに変更できます スレーブにとってアドレスが変更される前にコンポーネントをディセーブルする必要があるため I 2 C コントローラがマスタとして動作しているときのみこの機能を適用できます マスタとして I 2 C コントローラを使用するには 次の手順を実行します 1. IC_ENABLE レジスタに 0 を書き込むことで I 2 C コントローラをディセーブルします 2. スレーブ動作 ( ビット 2:1) 用にサポートされている最大速度モードを設定するために また I 2 C コントローラは デバイスがスレーブ ( ビット 3) であるとき 7 ビットと 10 ビットのどちらのアドレス指定モードで転送を開始するかを指定するために IC_CON レジスタに書き込みます 3. アドレス指定が必要な I 2 C デバイスのアドレスを IC_TAR レジスタに書き込みます I 2 C で実行されるのがゼネラル コールと START BYTE のどちらなのか表示します I 2 C コントローラのマスタで開始された転送の目的の速度 つまり 7 ビットまたは 10 ビットのアドレス指定は IC_10BITADDR_MASTER ビット フィールド (12 ビット ) によって制御されます 4. IC_ENABLE レジスタに 1 を書き込むことで I 2 C コントローラをイネーブルします 5. この時点で IC_DATA_CMD レジスタに転送方向および送信されるデータを書き込みます I 2 C コントローラがイネーブルされる前に IC_DATA_CMD レジスタが書き込まれる場合 I 2 C コントローラがイネーブルされていなければ バッファがクリアされた時点で データとコマンドが失われます 1 複数の I 2 C 転送では I 2 C トランザクションの間に TX FIFO が空になっていないことなど TX FIFO に対して追加の書き込みを実行します 任意のステージ ( または任意の段階で )TX FIFO が完全に空の状態であれば TX FIFO に対するさらなる書き込みは独立した I2C トランザクションとなります I 2 C コントローラは IC_TAR レジスタの IC_TAR( ビット 9:0) および IC_10BITADDR_MASTER( ビット 12) のビット フィールドをサポートしています 次の条件が満たされていると IC_TAR レジスタにダイナミックに書き込むことができます Cyclone V

20 20 20 I 2 C コントローラがイネーブルされていない場合 (IC_ENABLE=0) I 2 C コントローラがイネーブルされている場合 (IC_ENABLE=1) また I 2 C コントローラが任意の Master(TX RX) 動作 (IC_STATUS[5]=0) に従事されていない さらに I 2 C コントローラが Master モード (IC_CON[0]=1) で動作するようにイネーブルされている その上 TX FIFO に (IC_STATUS[2]=1) にエントリがない場合 I 2 C コントローラは ダイナミックな読み出しや書き込みの切り替えをサポートしています データを送信するためには I 2 C の Rx/Tx データ バッファとコマンド レジスタ (IC_DATA_CMD) の下位バイトに書き込まれるデータを書き込みます I 2 C のライト動作では CMD ビット [8] は 0 を書き込む必要があります その後 IC_DATA_CMD レジスタの下位バイトに don't cares を書き込むによってリード コマンドが発行されることがあり CMD ビットに 1 を書き込む必要があります マスタ モードでの I 2 C コントローラは 送信 FIFO 内にコマンドがある限り 転送を開始し続けます 送信 FIFO が空になると 現行の転送を完了した後 I 2 C コントローラは STOP 条件を挿入します IC_ENABLE レジスタが 1 から 0 に設定された応答としてハードウェアが完全にシャットダウンしたとき ソフトウェアが明確に決定できるようにレジスタの IC_ENABLE_STATUS が追加されます 1. I 2 C コントローラによってサポートされ システムで使用されている最高の I 2 C 転送速度用のシグナリング期間の 10 倍に等しいタイマ間隔 (ti2c_poll) を定義します 例えば 最高の I 2 C 転送モードが 400 Kbps である場合 ti2c_poll は 25 us になります 2. 任意の繰り返しポーリング動作がこの最大値を超えるとエラーが報告されるようにするため 最大のタイムアウト パラメータ MAX_T_POLL_COUNT を定義します 3. ソフトウェアによって開始される任意のさらなる I 2 C マスタ トランザクションを防ぐブロッキング スレッド / プロセス / 関数を実行しますが 保留中の転送が完了できます 1 I 2 C コントローラが I 2 C スレーブのみとして動作するようにプログラムされている場合 この手順は無視可能です 4. 変数 POLL_COUNT はゼロに初期化されます 5. IC_ENABLE を 0 に設定します 6. IC_ENABLE_STATUS レジスタを読み出し IC_EN ビット ( ビット 0) をテストします 1 によって POLL_COUNT をインクリメントします POLL_COUNT >= MAX_T_POLL_COUNT の場合 関連するエラー コードで終了します 7. IC_ENABLE_STATUS[0] が 1 の場合 ti2c_poll をスリープし 前のステップに進みます それ以外の場合は 関連する成功コードで終了します Cyclone V

21 20 21 f f I 2 C コントローラ上で DMA コントローラのインタフェースをイネーブルするために DMA コントロール レジスタ (IC_DMA_CR) を書き込む必要があります IC_DMA_CR レジスタの TDMAE ビット フィールドに 1 を書き込むと I 2 C コントローラはハンドシェイク インタフェースを送信可能になります IC_DMA_CR レジスタの RDMAE ビット フィールドに 1 を書き込むと I 2 C コントローラはハンドシェイク インタフェースを受信可能になります DMA コントローラについて詳しくは Cyclone V デバイス ハンドブック Volume 3 の DMA Controller の章を参照してください I 2 C コントローラの RX バッファおよび TX バッファの両方の FIFO バッファのデプス (FIFO_DEPTH) は 64 エントリです I 2 C シリアル転送中 送信 FIFO バッファ内のエントリ数が DMA 送信データ レベル レジスタ (IC_DMA_TDLR)( ウォーターマーク レベルとしても知られている ) の値以下になると送信 FIFO バッファ リクエストが DMA コントローラになされます DMA コントローラは DMA バースト長として指定された長さのデータのバーストを送信 FIFO バッファに書き込むことによって応答します DMA コントローラについて詳しくは Cyclone V デバイス ハンドブックの Volume 3 の DMA Controller の章を参照してください 送信 FIFO が連続的にシリアル転送を実行できるように 十分な頻度で DMA からデータをフェッチする必要があります つまり FIFO バッファが空になり始めたら 別の DMA リクエストがトリガーされる必要があります そうしないと FIFO はデータ ( アンダーフロー ) が不足になるため I 2 C バス上で STOP が挿入されることになります この状態を回避するために ウォーターマーク レベルを正しく設定する必要があります 以下のような仮定がなされた例を考えます DMA バースト長 = FIFO_DEPTH - IC_DMA_TDLR ここで DMA バーストで転送されるデータ アイテムの数は 送信 FIFO バッファの空スペースに等しいとします 次の 2 種類のウォーターマーク レベルの設定を考えます ケース 1:IC_DMA_TDLR = 16: 送信 FIFO ウォーターマーク レベル = IC_DMA_TDLR = 16: DMA バースト長 = FIFO_DEPTH - IC_DMA_TDLR = 48: I 2 C 送信 FIFO_DEPTH = 64: ブロック トランザクションのサイズ = 240: Cyclone V

22 20 22 図 に ウォーターマーク レベルが 16 に等しいときの送信 FIFO バッファを示します Transmit FIFO Buffer FIFO_DEPTH = 64 FIFO_DEPTH - IC_DMA_TDLR = 48 Transmit FIFO Watermark Level Data Out Empty Full IC_DMA_TDLR = 16 Data In DMA Controller 必要なバースト トランザクションの数は バーストあたりのデータ アイテム数で割ったブロック サイズに等しくなります ブロック トランザクションのサイズ /DMA バースト長 = 240/48 = 5 DMA ブロック転送のバースト トランザクションの数は 5 です しかし ウォーターマーク レベルの IC_DMA_TDLR は 非常に低いです したがって I 2 C シリアル送信ラインがデータを送信する必要がある場合 送信アンダーフローの確率は高いですが 送信 FIFO バッファに残っているデータはありません これが発生するのは FIFO バッファが空になる前に DMA が DMA リクエストを処理する時間がなかったためです ケース 2: IC_DMA_TDLR = 48 送信 FIFO ウォーターマーク レベル = IC_DMA_TDLR = 48 DMA バースト長 = FIFO_DEPTH - IC_DMA_TDLR = 16 I 2 C 送信 FIFO_DEPTH = 64 ブロック トランザクションのサイズ = 240 図 に ウォーターマーク レベルが 48 に等しいときの送信 FIFO バッファを示します Transmit FIFO Buffer Transmit FIFO Watermark Level Data Out FIFO_DEPTH = 64 Empty Full FIFO_DEPTH - IC_DMA_TDLR = 16 IC_DMA_TDLR = 48 Data In DMA Controller Cyclone V

23 20 23 ブロック内のバースト トランザクションの数 : ブロック トランザクションのサイズ /DMA バースト長 = 240/16 = 15 このブロック転送では DMA ブロック転送の 15 個のデスティネーション バースト トランザクションがあります しかし ウォーターマーク レベル IC_DMA_TDLR は高いです したがって I 2 C 送信 FIFO バッファが空になる前に DMA コントローラがデスティネーション バースト トランザクション リクエストを処理するための十分な時間を持っているので I 2 C の送信アンダーフローの確率は低いです したがって 第 2 のケースは ブロックあたりのバースト トランザクションを犠牲にすることで低い確率のアンダーフローとなっています このケースでは 第 1 に対して ブロックあたりのバーストは潜在的に多くなり バス使用率も悪くなります したがって ウォーターマーク レベルの選択目標は アンダーフロー状態の確率を許容レベルに維持しながら 同時にブロックごとのトランザクションの数を最小限に抑えることです 実際には この値は I 2 C 送信データのレートと DMA がデスティネーション バースト リクエストに応答できるレートの これら 2 つのレートの比の関数になります デスティネーション バースト リクエストを処理するのに十分なスペースが送信 FIFO バッファにない場合 DMA リクエストをトリガするウォーターマーク レベルより大きい値に DMA トランザクションのバースト長を設定すると オーバーフローを引き起こす可能性があります したがって オーバーフローを防止するために 次式が満たされている必要があります DMA バースト長 <= FIFO_DEPTH - IC_DMA_TDLR ケース 2:IC_DMA_TDLR = 48 では バースト リクエストが行われた時の送信 FIFO 内のスペースの量は DMA バースト長に等しくなります したがって バースト トランザクションの完了時に 送信 FIFO がフルである可能性がありますが オーバーフローはしていません したがって 最適な動作のために DMA バースト長は送信 DMA リクエストをトリガーする FIFO レベルに設定される必要があります つまり 以下のようになります DMA バースト長 = FIFO_DEPTH - IC_DMA_TDLR この式に従うことにより ブロック転送に必要な DMA のバースト数を減少させ バスの使用率が向上させます 1 I 2 C コントローラが正常に転送中に I 2 C シリアル送信ライン上の 1 つ以上のデータ アイテムを送信した場合 送信 FIFO は DMA バースト転送の終了時にフルにはなりません I 2 C シリアル転送中 受信 FIFO 内のエントリ数が DMA 受信データ レベル レジスタつまり IC_DMA_RDLR + 1 以上になるときはいつでも受信 FIFO リクエストが行われます これは ウォーターマーク レベルとして知られています DMA は 受信 FIFO からデータのバーストをフェッチすることによって応答します Cyclone V

24 20 24 受信 FIFO が連続的にシリアル転送を実行できるように 十分な頻度で DMA からデータをフェッチする必要があります つまり FIFO バッファがフィルを開始する場合は 別の DMA 転送が要求されます そうしないと FIFO がデータでいっぱいになってしまいます ( オーバーフロー ) この状態を回避するために ウォーターマーク レベルを正しく設定する必要があります 前述の送信ウォーターマーク レベルの選択と同様に 受信ウォーターマーク レベルである IC_DMA_RDLR + 1 は 図 示すようにオーバーフローの確率が最小限になるように設定する必要があります オーバーフローが発生する確率に対して ブロックごとに必要な DMA バースト トランザクション数がトレードオフの関係になります ウォーターマーク レベルより大きいソース トランザクションのバースト長を設定すると ソース バースト リクエストを処理するために十分なデータがないため アンダーフローを引き起こす可能性があります そのため アンダーフローを避けるために次式に従う必要があります DMA バースト長 = IC_DMA_RDLR + 1 受信 FIFO 内のデータ アイテムの数がバースト リクエストが行われた時のソース バースト長に等しい場合には バースト トランザクションの完了時に 受信 FIFO が空になる可能性はありますがアンダーフローにはなりません 最適な動作のために DMA バースト長は IC_DMA_RDLR + 1 のウォーターマーク レベルに設定する必要があります この式に従うことにより ブロック転送に必要な DMA のバースト数を減少させ バスの使用率が向上させます 1 I 2 C コントローラがバースト中に I 2 C シリアル受信ライン上で 1 つ以上のデータ アイテムを正常に受信した場合 受信 FIFO は ソース バースト トランザクションの終了時に空にはなりません 図 に 受信 FIFO バッファを示します Receive FIFO Buffer Empty Transmit FIFO Watermark Level Data In Full IC_DMA_RDLR + 1 Data Out DMA Controller Cyclone V

25 20 25 f アドレス マップとレジスタ定義は このハンドブックのボリュームに付属の hps.html ファイルにあります ファイルを開くには リンクをクリックします モジュールの説明とベース アドレスを表示するには 以下のモジュールのインスタンスのいずれかをスクロールして リンクをクリックします i2c0 i2c1 i2c2 i2c3 次に レジスタとフィールドの説明を表示するには レジスタ名をスクロールして クリックします レジスタのアドレスは 各モジュール インスタンスのベース アドレスからの相対的なオフセットです f すべてのモジュールのベース アドレスは Cyclone V デバイス ハンドブック Volume 3 の Introduction to the Hard Processor System の章に記載されています 表 20 3 に このドキュメントの改訂履歴を示します 2012 年 11 月 1.2 マイナーな更新 2012 年 5 月 1.1 プログラミング モデル アドレス マップおよびレジスタの定義 クロック およびリセットの項を追加 2012 年 1 月 1.0 初版 Cyclone V

26 20 26 Cyclone V

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

ウォッチドッグ・タイマ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 11? 2012? cv_54024-1.2 cv_54024-1.2 ウォッチドッグ タイマの主な機能は 無応答ステートから回復するシステムの方法を提供することです ハード プロセッサ システム (HPS) は レベル 4(L4) のペリフェラル バスに接続された 2 つのプログラム可能なウォッチドッグ タイマを提供しています ウォッチドッグ タイマは Synopsys DesignWare APB

More information

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用

DDR3 SDRAMメモリ・インタフェースのレベリング手法の活用 WP-01034-1.0/JP DLL (PVT compensation) 90 PLL PVT compensated FPGA fabric 90 Stratix III I/O block Read Dynamic OC T FPGA Write Memory Run Time Configurable Run Time Configurable Set at Compile dq0 dq1

More information

Microsoft Word - quick_start_guide_16 1_ja.docx

Microsoft Word - quick_start_guide_16 1_ja.docx Quartus Prime ソフトウェア ダウンロードおよびインストール クイック スタート ガイド 2016 Intel Corporation. All rights reserved. Intel, the Intel logo, Intel FPGA, Arria, Cyclone, Enpirion, MAX, Megacore, NIOS, Quartus and Stratix words

More information

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

CANコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54025-1.2 cv_54025-1.2 ハードウェア プロセッサ システム (HPS) は Cortex -A9 マイクロプロセッサ ユニット (MPU) サブシステム ホスト プロセッサ および CAN プロトコルで使用するダイレクト メモリ アクセス (DMA) コントローラでのシリアル通信用に 2 つのコントローラ エリア ネットワーク (CAN) コントローラを提供しています

More information

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ

AN 630: アルテラCPLD におけるリアルタイムISP およびISP クランプ CPLD ISP ISP この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください AN-630-1.0 アプリケーション ノート このアプリケーションノートでは MAX II および MAX V デバイスにおけるリアルタイム ISP(In-System Programmability)

More information

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ

ハード・プロセッサ・システム (HPS)のテクニカル・リファランス・マニュアル (TRM) 、第12章:クワッドSPIフラッシュ・コントローラ November 2012 cv_54012-1.2 cv_54012-1.2 ハード プロセッサ システム (HPS) は シリアル NOR フラッシュデ バイスにアクセスするためにクワッドのシリアル ペリフェラル インタフェース (SPI) フラッシュ メモリ コントローラを提供しています クワッド SPI フラッシュ コントローラが同様に高性能デュアルおよびクワッド SPI フラッシュ デバイスの標準的な

More information

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装

Nios II - PIO を使用した I2C-Bus (2ワイヤ)マスタの実装 LIM Corp. Nios II - PIO を使用した I 2 C-Bus (2 ワイヤ ) マスタの実装 ver.1.0 2010 年 6 月 ELSEN,Inc. 目次 1. はじめに... 3 2. 適用条件... 3 3. システムの構成... 3 3-1. SOPC Builder の設定... 3 3-2. PIO の設定... 4 3-2-1. シリアル クロック ライン用 PIO

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 ADC A/D コンバータ ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ ADC の概要 ソフトウエア トリガ セレクト モード 連続変換モードのプログラム サンプル紹介 2 ADC の概要 3 ADC のブロック図 パワー オフが可能 入力 選択 記憶 比較 基準電圧 変換結果

More information

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

USB 2.0 OTGコントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) 18. 11? 2012? av_54018-1.2 av_54018-1.2 ハード プロセッサ システム (HPS) は デバイスとホストの両方の機能をサポートする USB On-The-Go (OTG) コントローラの 2 つのインスタンスを提供しています コントローラは デバイスとホストの両方のモードですべての高速 全速および低速の転送をサポートしています コントローラは On-The-Go

More information

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM)

NANDフラッシュ・コントローラ、ハード・プロセッサ・システム(HPS)のテクニカル・リファレンス・マニュアル(TRM) November 2012 cv_54010-1.2 cv_54010-1.2 ハード プロセッサ システム (HPS) は Altera system-on-a-chip(soc)fpga システムで外部 NAND フラッシュ メモリと接続する NAND フラッシュ コントローラを提供しています 大容量のアプリケーション用またはユーザー データ用の外部ストレージ容量として ソフトウェアのプロセッサ

More information

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング

AN 611:3G-SDI レベルB とデュアル・リンクHD-SDI(SMPTE372)リファレンス・デザインのマッピング AN-611-1.0 この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください このリファレンス デザインは Altera SDI MegaCore ファンクションおよびオーディオ ビデオ開発キット Stratix IV GX エディションを使用して 3 ギガビット / 秒のシリアル

More information

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O)

SOPC Builder ペリフェラル 簡易ユーザ・ガイド - PIO (Parallel I/O) ALTIMA Corp. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) ver.1.0 2010 年 8 月 ELSENA,Inc. SOPC Builder ペリフェラル簡易ユーザ マニュアル PIO (Parallel I/O) 目次 1. はじめに... 3 2. PIO 概要... 3 2-1. PIO 概要... 3 2-2. PIO

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介 SAU シリアル アレイ ユニット ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ SAU の概要 UART 通信機能のプログラム サンプル紹介 2 SAU の概要 3 SAU の機能 クロック同期式調歩同期式マスタ動作のみ チャネル 0: 送信チャネル 1: 受信 4 UART

More information

スライド 1

スライド 1 RL78/G13 周辺機能紹介安全機能 ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ 安全機能の概要 フラッシュ メモリ CRC 演算機能 RAM パリティ エラー検出機能 データの保護機能 RAM ガード機能 SFR ガード機能 不正メモリ アクセス機能 周辺機能を使用した安全機能 周波数検出機能 A/D

More information

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章

Cyclone V デバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール、Cyclone Vデバイス・ハンドブック、Volume 1、第1章 June 2012 CV-52001-2.0 CV-52001-2.0 この章では Cyclone V コア ファブリック内のロジック アレイ ブロック (LAB) の機能を説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するためにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本的なビルディング ブロックで構成されています

More information

AN 357: Error Detection & Recovery Using CRC in Altera FPGA Devices

AN 357: Error  Detection & Recovery Using CRC in Altera FPGA Devices 2008 年 7 月 ver. 1.4 アルテラ FPGA デバイスの CRC によるエラー検出およびリカバリ Application Note 357 概要 航空電子 テレコム システム コントロール および軍事用アプリケーションの分野で使用されるクリティカルな用途では 以下ができることが重要です FPGA デバイスに格納されたコンフィギュレーション データが正確であるかを確認する システムにコンフィギュレーション

More information

A-AN pdf

A-AN pdf JQFP BGA 1999 1 ver. 4 Application Note 71 J QFPFineLine BGA TM BGA JQFPBGA JQFP QFPBGA JQFP BGA JQFP BGA J QFP J QFP QFP QFP 125 QFP QFP QFPQFP Carrier & Development Socket Altera Corporation Page 1 A-AN-071-04/J

More information

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ

目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラ 第5回 Arduino入門 I2C通信編 プレゼン by いっちー 目次 1 I2Cとは 13 結線写真 2 センサの多くがI2Cに対応 14 WHO_AM_I 3 マイコンでのI2C通信例 15 I2C読込みプログラム 4 とは 16 I2C読込みスクリプト概要① 5 タイミングパラメータ 17 I2C読込みスクリプト概要② 6 書込み 18 センサ読込みプログラム 7 読込み 19 センサ読込み概要①

More information

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s

1-2 MLAB 図 1-1: Arria 10 デバイスにおける LAB 構造およびインタコネクトの概要 この図は LAB インタコネクトを有する Arria 10 の LAB および MLAB 構造の概要を表しています C4 C27 異なる速度と長さのロウ インタコネクト R32 R3/R6 s 1 署名 ロジック アレイ ブロック (LAB) は アダプティブ ロジック モジュール () として知られる基本のビルディング ブロックで構成されています ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するために LAB をコンフィギュレーションすることができます また Arria 10 デバイスで使用可能な LAB の 4 分の 1 をメモリ LAB(MLAB)

More information

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR

-2 外からみたプロセッサ GND VCC CLK A0 A1 A2 A3 A4 A A6 A7 A8 A9 A10 A11 A12 A13 A14 A1 A16 A17 A18 A19 D0 D1 D2 D3 D4 D D6 D7 D8 D9 D10 D11 D12 D13 D14 D1 MEMR 第 回マイクロプロセッサのしくみ マイクロプロセッサの基本的なしくみについて解説する. -1 マイクロプロセッサと周辺回路の接続 制御バス プロセッサ データ バス アドレス バス メモリ 周辺インタフェース バスの基本構成 Fig.-1 バスによる相互接続は, 現在のコンピュータシステムのハードウェアを特徴づけている. バス (Bus): 複数のユニットで共有される信号線システム内の データの通り道

More information

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A

1. A/D 入力について分解能 12bit の A/D コンバータ入力です A/D 入力電圧とディジタル値との対応は理論上 入力電圧 0V : 0 入力電圧 +3V : 4095 です 実際はオフセットと傾きがあり ぴったりこの数値にはなりません 2. A/D 入力に使用する信号 STM32L_A STM32L_ADC の説明 V003 2014/03/30 STM32L-Discovery の A/D 入力を行うプログラムです A/D CH0 ~ A/D CH3 の 4 本の入力が可能です 提供する PC のアプリケーション Access_SerialPort を使用して UART( 非同期シリアル通信 ) により A/D 入力の表示を行うことができます 無料の開発ツール Atollic TrueSTUDIO

More information

Introducing_SPIStorm-JP

Introducing_SPIStorm-JP SPI Storm の紹介 USB での SPI Storm は Byte Paradigm の USB Serial Protocol host adapter です SPI Storm は マスターとして SPI (Serial Peripheral Interface) 3-wires SPI dual-spi quad-spi プロトコルをサポートします それは PC から最大 100MHz

More information

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続

CMOS リニアイメージセンサ用駆動回路 C CMOS リニアイメージセンサ S 等用 C は当社製 CMOSリニアイメージセンサ S 等用に開発された駆動回路です USB 2.0インターフェースを用いて C と PCを接続 CMOS リニアイメージセンサ用駆動回路 C13015-01 CMOS リニアイメージセンサ S11639-01 等用 C13015-01は当社製 CMOSリニアイメージセンサ S11639-01 等用に開発された駆動回路です USB 2.0インターフェースを用いて C13015-01と PCを接続することにより PCからC13015-01 を制御して センサのアナログビデオ信号を 16-bitデジタル出力に変換した数値データを

More information

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章

デュアルDIMM DDR2およびDDR3 SDRAMのボード・デザイン・ガイドライン、外部メモリ・インタフェース・ハンドブック、Volume 2、第5章 5? 2012? EMI_DG_005-2.0 EMI_DG_005-2.0 この章では デュアル バッファなし DIMM (UDIMM) DDR2 および DDR3 SDRAM インタフェースの実装のガイドラインについて説明します この章では デュアル DIMM 構成を次の条件で使用して データ信号のシグナル インテグリティに対する影響を説明します 1 スロット実装対 2 スロット実装 DIMM

More information

Microsoft Word - dg_sataahciip_refdesign_jp.doc

Microsoft Word - dg_sataahciip_refdesign_jp.doc SATA AHCI-IP コア リファレンス デザイン説明書 Rev1.3J 2017/03/22 本ドキュメントは AHCI-IP コア実機デモ システムのリファレンス デザインを説明したものです SATA-IP コアの上位に AHCI-IP コアを実装することで アプリケーション レイヤのドライバを介して Linux 等の OS から接続 SATA デバイスを直接ドライブとして認識でき ファイル

More information

Microsoft Word - SUA007

Microsoft Word - SUA007 アルテラ社ツール Qsys を利用した Smart-USB Plus 製品用リファレンス回路 SRAM-FIFO モジュール 1. SRAM-FIFO モジュールとは? Smart-USB Plus 製品に搭載する高速同期 SRAM を FIFO 化するモジュールです アルテラ社 AVALON バス仕様に準拠しています 既に提供している GPIF-AVALON ブリッジ (SUA006 アプリケーションノート参照

More information

Cyclone III デバイス・ファミリの メモリ・ブロック

Cyclone III デバイス・ファミリの メモリ・ブロック この資料は英語版を翻訳したもので 内容に相違が生じる場合には原文を優先します こちらの日本語版は参考用としてご利用ください 設計の際には 最新の英語版で内容をご確認ください Cyclone III デバイス ファミリ (Cyclone III および Cyclone III LS デバイス ) は アルテラの Cyclone III デバイス ファミリのデザインのオンチップ メモリの要件に対応するエンベデッド

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? アルテラ FPGA 向け PLL リコンフィグの応用回路 1. PLL リコンフィグとは アルテラ FPGA は PLL 機能を内蔵しています PLL を利用して基本周波数を逓倍 分周したクロックを利用することができます 通常 FPGA 開発ツール Quartus2( 以下 Q2) の MegaWizard プラグインマネージャを利用して PLL を設定し 希望のクロック周波数を得ることができます

More information

Microsoft Word - CAN Monitor の使い方.doc

Microsoft Word - CAN Monitor の使い方.doc CAN Monitor の使い方 1. 仕様項目内容ポート数 1ch 対応速度 125kbps,250kbps,500kbps,1Mbps ログ記録 CSV フォーマットで時系列に記録時間は msec 単位 H/W mailbox 受信 :16ch 送信 :8ch 受信フィルタチャネル毎にデータ ID および MASK 機能設定可能 Bit Timing 調整可能 サンプリングポイントを約 30%~

More information

外部SQLソース入門

外部SQLソース入門 Introduction to External SQL Sources 外部 SQL ソース入門 3 ESS 3 ESS : 4 ESS : 4 5 ESS 5 Step 1:... 6 Step 2: DSN... 6 Step 3: FileMaker Pro... 6 Step 4: FileMaker Pro 1. 6 Step 5:... 6 Step 6: FileMaker Pro...

More information

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /*****************************************

81 /******************************************************************************/ 82 /* スレーブアドレスの設定 */ 83 /***************************************** 1 /******************************************************************************/ 2 /* IIC(Inter IC Bus) の制御 */ 3 /******************************************************************************/ 4 /*

More information

ヤマハDante機器と他社AES67機器の接続ガイド

ヤマハDante機器と他社AES67機器の接続ガイド はじめに AES67 は 高性能なデジタル IP ネットワークの相互接続を実現するための標準規格です AES67 は や Ravenna Q-LAN Livewire WheatNet などの異なるネットワーク規格で構築されたシステム間で オーディオ信号を送受信する手段を提供します ヤマハも 機器のアップデートにより順次 AES67 への対応を開始し 第一弾としてデジタルミキシングコンソール CL/QL

More information

Notes and Points for TMPR454 Flash memory

Notes and Points for TMPR454 Flash memory 表紙 TMPR454 内蔵 Flash メモリ対応版手順書 株式会社 DTS インサイト ご注意 (1) 本書の内容の一部または 全部を無断転載することは禁止されています (2) 本書の内容については 改良のため予告なしに変更することがあります (3) 本書の内容について ご不明な点やお気付きの点がありましたら ご連絡ください (4) 本製品を運用した結果の影響については (3) 項にかかわらず責任を負いかねますのでご了承ください

More information

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム

データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 目次 クレート コントローラ CC/ NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U デッドタイム データ収集用 NIM/CAMAC モジュールマニュアル 2006/5/23 hiromi@tac.tsukuba.ac.jp 目次 クレート コントローラ CC/7700...2 NIM ADC 1821 (Seiko EG&G)...3 ADC インターフェイス U9201...4 デッドタイム カウンター NK-1000...5 AD811 8ch ADC (Ortec)...6 C011 4ch

More information

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ

arduino プログラミング課題集 ( Ver /06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイ arduino プログラミング課題集 ( Ver.5.0 2017/06/01 ) arduino と各種ボードを組み合わせ 制御するためのプログラミングを学 ぼう! 1 入出力ポートの設定と利用方法 (1) 制御( コントロール ) する とは 外部装置( ペリフェラル ) が必要とする信号をマイコンから伝える 外部装置の状態をマイコンで確認する 信号の授受は 入出力ポート 経由で行う (2) 入出力ポートとは?

More information

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは?

QuartusII SOPC_Builderで利用できるGPIF-AVALONブリッジとは? レジスタ アクセスの拡張機能 1. レジスタ アクセスの概要 Smart-USB Plus 製品で利用できるレジスタ アクセスとは FPGA 内にハードウエア レジスタを実装し ホスト PC の制御ソフトウエアから USB 経由でそれらのレジスタに値を設定したり レジスタの設定値を読み出すことができる機能です このレジスタ アクセス制御には USB バス仕様に基づく コントロール転送 を利用しています

More information

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000

CoIDE 用 STM32F4_UART2 の説明 V /03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000 CoIDE 用 STM32F4_UART2 の説明 V002 2014/03/30 STM32F4 Discovery の非同期シリアル通信ポート UART2 の送受信を行うプログラムです Free の開発ツール CoIDE で作成したプロジェクトサンプルです プログラムの開始番地は 0x08000000 です デバッグが可能です 提供する PC のアプリケーションの Access_SerialPort

More information

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2

Stratix IVデバイスのロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール, Stratix IV Device Handbook, Volume 1, Ch 2 2010?9? 2010 SIV51002-3.1 SIV51002-3.1 この章では Stratix IV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションのコンフィギュレーションに使用可能な から構成されます ロジック アレイ ブロック (LAB) およびアダプティブ

More information

MODBUS ユーザーズマニュアル 페이지 1 / 23

MODBUS ユーザーズマニュアル 페이지 1 / 23 MODBUS ユーザーズマニュアル 페이지 1 / 23 目次 1. 概要... 1 2. GX7 サポートファンクションコード... 1 3. GX7 サポートリファレンス... 1 4. GX7 サポートリファレンスとファンクションコードの関係... 2 5. XD+ 内プロジェクト設定でオプション別の機能... 4 6. トラブルシューティング... 9 A. APPENDIX... 12 1.

More information

CommCheckerManual_Ver.1.0_.doc

CommCheckerManual_Ver.1.0_.doc 通信チェックツール (CommChecker) 取扱説明書 (Ver.1.0) 2009 ESPEC Corp. 目次 1. 使用条件 4 2. ダウンロード & インストール 5 3. 環境設定 6 3-1.RS-485 通信 6 3-2.RS-232C 通信 7 3-3.GPIB 通信 8 4. ソフトウェアの使用方法 9 4-1. 起動 9 4-2. 通信設定 10 (1)RS485 通信 10

More information

DUSx200 シリーズコントローラ I2C インターフェース仕様書

DUSx200 シリーズコントローラ I2C インターフェース仕様書 DUSx200 シリーズコントローラ I2C インターフェース仕様書 目次 1. 変更履歴... 2 2. 適用... 3 3. ホストインターフェース... 3 3.1. 通信タイミング... 3 3.2. 制御信号... 3 3.3. 通信仕様... 4 3.4. プロトコル仕様... 4 4. レポート形式... 5 4.1. タッチ座標データ... 5 4.2 水レポート... 5 5. メンテナンスコマンド...

More information

Singapore Contec Pte Ltd. Opening Ceremony

Singapore Contec Pte Ltd. Opening Ceremony M2M/IoT ソリューション CONPROSYS FIT プロトコル通信サンプルタスク 2018 年 03 月 06 日株式会社コンテック 1 必要機材 本サンプルを利用するに当り 最低限必要な機材を以下に示します 動作確認や信号状況を変化させるためのスイッチ センサ類は適宜ご用意下さい 品名 型式 必要数 メーカー M2Mコントローラ CPS-MC341-ADSC1-111 2 CONTEC ノートPC

More information

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x

CoIDE 用 F4D_VCP の説明 V /07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x CoIDE 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです Free の開発ツール CoIDE で作成した STM32F4 Discovery 用のプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です 目次 1. USB の VCP( 仮想 COM ポート )

More information

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M

Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M Jan/25/2019 errata_c17m11_10 S1C17 マニュアル正誤表 項目 リセット保持時間 対象マニュアル発行 No. 項目ページ S1C17M10 テクニカルマニュアル 413180100 19.4 システムリセットコントローラ (SRC) 特性 19-3 S1C17M20/M21/M22/M23/M24/M25 テクニカルマニュアル 413556900 21.4 システムリセットコントローラ

More information

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx

Microsoft Word - PCI-X_PCIeバスのデータ転送-ver1.0.docx データ転送時におけるエラー / ボード認識不具合に関する資料 2012/06/20 目次 画像データ転送時に発生する問題 ( 過去の事例 )... 3 不具合の発生したチップセットの例... 7 Intel 社製チップセット... 8 テレダインダルサが推奨するチップセットの例... 9 トランザクション層の機能... 11 PCI Express のレーン順序と差動信号の特性... 12 レーン0とレーン1で送信側と受信側で速度差を吸収する機能...

More information

Veritas System Recovery 18 System Recovery Disk

Veritas System Recovery 18 System Recovery Disk Veritas System Recovery 18 System Recovery Disk 免責事項 ベリタステクノロジーズ合同会社は この 書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS の製品は将来に渡って仕様を変更する可能性を常に含み これらは予告なく われることもあります なお 当ドキュメントの内容は参考資料として 読者の責任において管理 / 配布されるようお願いいたします

More information

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート )

1. USB の VCP( 仮想 COM ポート ) について USB の VCP( 仮想 COM ポート ) は USB を非同期シリアル通信として使用するための USB のドライバです PC には VCP ドライバをインストールする必要があります USB の VCP( 仮想 COM ポート ) TrueSTUDIO 用 F4D_VCP の説明 V001 2014/07/05 USB の VCP( 仮想 COM ポート ) による非同期シリアル通信を行うプログラムです 無料の試用版開発ツール Atollic TrueSTUDIO for ARM Lite で作成したプロジェクトです ビルド可能なプログラムのコードサイズが 32Kbyte 以内の制限があります プログラムの開始番地は 0x08000000

More information

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo

ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windo ESOTERIC ASIO USB DRIVER インストールマニュアル Windows 用 システム推奨条件 2 インストールで使用する言語を選択して 次へ ボタンをクリックする Intel Core 2 Duo 以上のプロセッサー搭載コンピュータ 搭載メモリ 1GB 以上 対応 OS Windows 7 (32bit 版 64bit 版 ) Windows 8 (32bit 版 64bit 版

More information

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設

内容 1. APX-3302 の特長 APX-3312 から APX-3302 へ変更するためには 差分詳細 ハードウェア ハードウェア性能および仕様 ソフトウェア仕様および制限 Ini ファイルの設 APX-3312 と APX-3302 の差分一覧 No. OM12021D APX-3312 と APX-3302 は どちらも同じ CameraLink 規格 Base Configuration カメラ 2ch 入力可能なボードになります 本書では APX-3312 をご利用になられているお客様が APX-3302 をご利用になられる場合の資料として 両ボードについての差異 を記述しております

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 TMR 8 ビットタイマ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ TMR の概要 プログラムサンプル (1) パルス出力機能 (8 ビットモード ) プログラムサンプル (2) インターバルタイマ機能 (16 ビット コンペアマッチカウントモード ) プログラムサンプルのカスタマイズ

More information

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ)

アプリケーション インスペクションの特別なアクション(インスペクション ポリシー マップ) CHAPTER 2 アプリケーションインスペクションの特別なアクション ( インスペクションポリシーマップ ) モジュラポリシーフレームワークでは 多くのアプリケーションインスペクションで実行される特別なアクションを設定できます サービスポリシーでインスペクションエンジンをイネーブルにする場合は インスペクションポリシーマップで定義されるアクションを必要に応じてイネーブルにすることもできます インスペクションポリシーマップが

More information

Title Slide with Name

Title Slide with Name 自習 & ハンズオントレーニング資料 System Recovery 2013 R2 SR13R2-06 System Recovery Monitor ベリタステクノロジーズ合同会社 テクノロジーセールス & サービス統括本部セールスエンジニアリング本部パートナー SE 部 免責事項 ベリタステクノロジーズ合同会社は この文書の著作権を留保します また 記載された内容の無謬性を保証しません VERITAS

More information

基本操作ガイド

基本操作ガイド HT7-0199-000-V.5.0 1. 2. 3. 4. 5. 6. 7. 8. 9. Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 1 2 3 4 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 AB AB Step 1 Step

More information

TFTP serverの実装

TFTP serverの実装 TFTP サーバーの実装 デジタルビジョンソリューション 佐藤史明 1 1 プレゼンのテーマ組み込みソフトのファイル転送を容易に 2 3 4 5 基礎知識 TFTP とは 実践 1 実際に作ってみよう 実践 2 組み込みソフトでの実装案 最後におさらい 2 プレゼンのテーマ 組み込みソフトのファイル転送を容易に テーマ選択の理由 現在従事しているプロジェクトで お客様からファームウェアなどのファイル転送を独自方式からTFTPに変更したいと要望があった

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J QT5-0571-V03 1 ...5...10...11...11...11...12...12...15...21...21...22...25...27...28...33...37...40...47...48...54...60...64...64...68...69...70...70...71...72...73...74...75...76...77 2 ...79...79...80...81...82...83...95...98

More information

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール

ロジック・アレイ・ブロックおよびアダプティブ・ロジック・モジュール 1 AV-52001 署名 この章では ArriaV コア ファブリックのロジック アレイ ブロック (LAB) の機能について説明します LAB は ロジック ファンクション 演算ファンクション およびレジスタ ファンクションを実装するようにコンフィギュレーションできるアダプティブ ロジック モジュール () として知られる基本ビルディング ブロックで構成されています ArriaV デバイス内で使用可能な

More information

エレクトーンのお客様向けiPhone/iPad接続マニュアル

エレクトーンのお客様向けiPhone/iPad接続マニュアル / JA 1 2 3 4 USB TO DEVICE USB TO DEVICE USB TO DEVICE 5 USB TO HOST USB TO HOST USB TO HOST i-ux1 6 7 i-ux1 USB TO HOST i-mx1 OUT IN IN OUT OUT IN OUT IN i-mx1 OUT IN IN OUT OUT IN OUT IN USB TO DEVICE

More information

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の )

2.RL78 での割り込み処理 ( 割り込み受け付け ) マスクが解除された (xxmk ビットが 0 の ) 割り込み要求信号は 2 つの用途で使用されます 一つ目は,CPU のスタンバイ状態の解除です この動作は, 割り込み優先順位とは全く無関係で, マスクされていない (xxmk=0 の ) 割り込み / ポーリング /DMA/DTC(RL78 での周辺機能制御 ) 周辺機能を介してデータ転送を制御する方法には, 大きく分けて 3 つの方法があります その中で DMA や DTC は CPU を介することなく, 高速にデータを転送することができますが, 使用できるチャネル数が限られます そのため, たとえば,CSI のスレーブでの高速通信のように限られた時間内に転送が必要な場合に使用できます

More information

スライド 1

スライド 1 RX62N 周辺機能紹介データフラッシュ データ格納用フラッシュメモリ ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ データフラッシュの概要 プログラムサンプル 消去方法 書き込み方法 読み出し方法 FCUのリセット プログラムサンプルのカスタマイズ 2 データフラッシュの概要 3 データフラッシュとは フラッシュメモリ

More information

電話機のファイル形式

電話機のファイル形式 この章では テキスト エディタを使用して作成する CSV データ ファイルのファイル形式を設定 する方法について説明します 電話機 CSV データ ファイルを作成するためのテキスト エディタ, 1 ページ の検索, 2 ページ CSV データ ファイルの電話機ファイル形式の設定, 3 ページ テキストベースのファイル形式と CSV データ ファイルの関連付け, 7 ページ 電話機 CSV データ ファイルを作成するためのテキスト

More information

ScanFront300/300P セットアップガイド

ScanFront300/300P セットアップガイド libtiff Copyright (c) 1988-1996 Sam Leffler Copyright (c) 1991-1996 Silicon Graphics, Inc. Permission to use, copy, modify, distribute, and sell this software and its documentation for any purpose is hereby

More information

Microsoft Word - HowToSetupVault_mod.doc

Microsoft Word - HowToSetupVault_mod.doc Autodesk Vault 環境設定ガイド Autodesk Vault をインストール後 必要最小限の環境設定方法を説明します ここで 紹介しているのは一般的な環境での設定です すべての環境に当てはまるものではありません 1 条件 Autodesk Data Management Server がインストール済み Autodesk Vault Explorer がクライアント PC にインストール済み

More information

操作ガイド(本体操作編)

操作ガイド(本体操作編) J-1 QT5-0681-V02 1 m a b c d e f l kj i h g a b c d e f g h i j k l m n n o o s p q r p q r s w t u v x y z t u v w x y z a bc d e f g q p o n m l k j i h a b c d e f g h i j k l {}[] {}[] m n

More information

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl

1. 新規プロジェクト作成の準備新規プロジェクトのためのフォルダを用意して そこにプロジェクトを作成します [ 新しいフォルダー ] をクリックして希望のフォルダに新しいフォルダを作成します この例では TrST_F401N_BlinkLD2 というフォルダを作成しました TrST_F401N_Bl NUCLEO-F401RE の TrueSTUDIO プロジェクト構築方法 V001 2014/09/24 Atollic TrueSTUDIO for ARM Lite を使用して NUCLEO-F401RE のプロジェクトを新規に作成する方法について説明します また ビルドとデバッグについても説明しています 目次 1. 新規プロジェクト作成の準備... 2 2. 新規プロジェクトの作成... 3

More information

インターネット接続ガイド v110

インターネット接続ガイド v110 1 2 1 2 3 3 4 5 6 4 7 8 5 1 2 3 6 4 5 6 7 7 8 8 9 9 10 11 12 10 13 14 11 1 2 12 3 4 13 5 6 7 8 14 1 2 3 4 < > 15 5 6 16 7 8 9 10 17 18 1 2 3 19 1 2 3 4 20 U.R.G., Pro Audio & Digital Musical Instrument

More information

PassSureExam Best Exam Questions & Valid Exam Torrent & Pass for Sure

PassSureExam   Best Exam Questions & Valid Exam Torrent & Pass for Sure PassSureExam http://www.passsureexam.com Best Exam Questions & Valid Exam Torrent & Pass for Sure Exam : 1z0-950-JPN Title : Oracle Data Management Cloud Service 2018 Associate Vendor : Oracle Version

More information

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2)

Oracle Enterprise Managerシステム監視プラグイン・インストレーション・ガイドfor Juniper Networks NetScreen Firewall, 10gリリース2(10.2) Oracle Enterprise Manager システム監視プラグイン インストレーション ガイド for Juniper Networks NetScreen Firewall 10g リリース 2(10.2) 部品番号 : B28468-01 原典情報 : B28041-01 Oracle Enterprise Manager System Monitoring Plug-in Installation

More information

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード]

Microsoft PowerPoint - RL78G14_動画マニュアル_タイマRD.ppt [互換モード] RL78/G14 周辺機能紹介タイマ RD ルネサスエレクトロニクス株式会社 ルネサス半導体トレーニングセンター 2013/08/02 Rev. 0.00 00000-A コンテンツ タイマ RD の概要 PWM 機能のプログラム サンプル紹介 相補 PWM モードのプログラム サンプル紹介 2 タイマ RD の概要 3 タイマ RD の機能 モード 使用チャネル チャネル0, チャネル1 独立で使用

More information

< D A0>

< D A0> #include #include ".h" * マスターモード専用 I2C 関数 教育 ホビー用 * 営利目的 商用への利用は禁止 * 詳しいタイミングは NXP の資料参照のこと 関数の説明 * ストップ状態にします : クロックをHにしてデータをL->Hします _stop() SDA_LOW(); 初めはデータを L 確認 SCL_HIGH(); SCL を H にする //

More information

6

6 Stellaris LM3S9B96 Microcontroller 15 章同期式シリアル インターフェイス (SSI) JAJU139 SPMS182D 翻訳版 (15 章 ) 最新の英語版 : http://www.ti.com/lit/gpn/lm3s9b96 この資料は Texas Instruments Incorporated(TI) が英文で記述した資料を 皆様のご理解の一助として頂くために日本テキサス

More information

複数の Nios II を構成する際の注意事項

複数の Nios II を構成する際の注意事項 ver. 1.0 2009 年 4 月 1. はじめに Nios II IDE で ソフトウェアをビルドすると SOPC Builder の GUI 上で Nios II と接続されているペリフェラル用の初期化コードを自動で生成します この各ペリフェラルに対応した初期化コードで ペリフェラルを制御するためにアルテラ社から提供された HAL を利用するための準備や 各ペリフェラルの一般的な理想と考えられる初期状態のレジスタ設定等を行います

More information

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法

FPGA 外部のメモリをアバロン・MM・インタフェースへ接続する方法 ver. 8.1 2009 年 3 月 1. はじめに Nios II 開発ボードに実装されているメモリ用のコンポーネントは SOPC Builder の中にあらかじめ用意されています しかし 実際に基板を作成した場合には Nios II 開発ボードに実装されているメモリと同じ仕様の製品でない限り SOPC Builder であらかじめ用意されたメモリ用のコンポーネントを使用することはできません この場合

More information

PIC24F Family Reference Manual Section 9 WDT

PIC24F Family Reference Manual Section 9 WDT 第 9 章 (WDT) ハイライト 本章では次のトピックについて説明します 9.1 はじめに... 9-2 9.2 WDT の動作... 9-2 9.3 レジスタマップ... 9-5 9.4 設計の秘訣... 9-6 9.5 関連するアプリケーションノート... 9-7 9.6 改版履歴... 9-8 9 2007 Microchip Technology Inc. Advance Information

More information

パーツリスト 組み立て前にすべてのパーツがそろっているかご確認ください 種類 品番 数 種類 品番 数 基板 I2C LCD 1 コンデンサ 0.1uF (104) 積層セラミック 1 IC ATtiny コンデンサ 10uF 電解 1 半固定抵抗 10~50kΩ 1 コネクタ IC ソ

パーツリスト 組み立て前にすべてのパーツがそろっているかご確認ください 種類 品番 数 種類 品番 数 基板 I2C LCD 1 コンデンサ 0.1uF (104) 積層セラミック 1 IC ATtiny コンデンサ 10uF 電解 1 半固定抵抗 10~50kΩ 1 コネクタ IC ソ Arduino Shield series 汎用 LCD I2C 化アダプター I2C LCD Galileo 7 Printed 2010-02-06 本製品について 豊富に出回っている汎用のキャラクター液晶ディスプレイモジュールは パラレル接続でコントロールするようになっていますが これを I2C(TWI) でコントロールできるようにするアダプターです 電源以外に 2 本の制御線をつなぐだけでコントロールできるため

More information

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受

1. UART について UART は Universal Asynchronous Receiver Transmitter の頭文字をとったもので 非同期シリアル通信と呼ばれます シリアル通信とは 一本の信号線でデータをやりとりするために 1bit ずつデータを送出することをいいます データを受 STM32L_UART1 の説明 V004 2014/03/30 STM32L-Discovery の UART 1 の送受信を行うプログラムです 無料の開発ツール Atollic TrueSTUDIO for ARM Lite( 試用版 ) で作成したプロジェクトです プログラムの開始番地は 0x08000000 です デバッグが可能です PC アプリケーションの Access_SerialPort

More information

アナログ・接点変換器

アナログ・接点変換器 LoRa/ 通信変換器 HLR-RS485 通信仕様書 (Modbus) インターフェース 2019 年 02 月 19 日 改訂履歴 日付改訂者改訂内容 2018/09/14 野村初版 2019/02/19 山下 改訂 1 P12 説明文修正 レジスタ割付修正 P13 キャリアセンス異常エラー追加 承認確認作成 ( 3 ) 目次 1 概要... 4 2 基本仕様... 4 3 通信モードについて...

More information

LC01707PLF CMOS LSI FM IC Semiconductor Components Industries, LLC, 2013 September, 2013

LC01707PLF CMOS LSI FM IC   Semiconductor Components Industries, LLC, 2013 September, 2013 LC01707PLF CMOS LSI FMIC http://onsemi.jp Semiconductor Components Industries, LLC, 2013 September, 2013 LC01707PLF μ μ μ TOP VIEW SIDE VIEW BOTTOM VIEW 6.0 (4.0) 0.5 44 6.0 (4.0) 1 2 2 0.16 0.4 1 (1.0)

More information

Oracle Data Pumpのパラレル機能

Oracle Data Pumpのパラレル機能 Oracle Data Pump のパラレル機能 Carol Palmer オラクル社 Principal Product Manager はじめに Oracle Database 10g 上の Oracle Data Pump により 異なるデータベース間のデータとメタデータを高速で移動できます Data Pump の最も便利な機能の 1 つは エクスポート ジョブとインポート ジョブをパラレルに実行しパフォーマンスを高める機能です

More information

NI 6601/6602 キャリブレーション手順 - National Instruments

NI 6601/6602 キャリブレーション手順 - National Instruments キャリブレーション手順 NI 6601/6602 目次 このドキュメントでは NI 6601/6602 データ集録デバイスのキャリブレーションについて説明します 概要... 2 キャリブレーションとは... 2 検証が必要である理由は... 2 検証の頻度は... 2 ソフトウェアとドキュメント... 2 ソフトウェア... 2 ドキュメント... 3 テスト装置... 3 テスト条件... 3 キャリブレーションの手順...

More information

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数

2-2 デザイン ガイドライン 表 2-1: 容量と分配 A10-MEMORY タイプ Arria 10 GX Arria 10 GT Arria 10 SX 製品ライン ブロック数 M20K RAM ビット数 (Kb) ブロック数 MLAB RAM ビット数 (Kb) トータル RAM ビット数 2 A10-MEMORY 署名 デバイス内のエンベデッド メモリ ブロックには柔軟性があり デザイン要件に合った最適な小規模メモリ アレイおよび大規模メモリ アレイを提供できるようデザインされています 関連情報 Arria 10 Device Handbook: Known Issues >Arria 10 Device Handbook の章にて予定される更新をリストします エンベデッド メモリのタイプ

More information

ターゲット項目の設定について

ターゲット項目の設定について Code Debugger CodeStage マニュアル別冊 ターゲット 項目の設定について Rev. 2.8 2018 年 4 月 13 日 BITRAN CORPORATION ご注意 1 本書及びプログラムの内容の一部または 全部を無断で転載することは プログラムのバックアップの場合を除き 禁止されています 2 本書及びプログラムの内容に関しては 将来予告なしに変更することがあります 3 当社の許可なく複製

More information

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル

WAGO PROFIBUS バスカプラ/コントローラと、QJ71PB92Dとのコンフィグレーションマニュアル < 概要 > WAGO-I/O-SYSTEM750 シリーズ PROFIBUS バスカプラ / コントローラと 三菱電機 製 PROFIBUS ユニット QJ71PB92D とのコンフィグレーション手順を説明しております < 使用機器接続図 > 下記機器を準備し 図の通り接続しました WAGO-I/O-SYSTEM PROFIBUS バスカプラ / コントローラ 750-xxx および I/O モジュール

More information

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R

1. 使用する信号 1.1. UART 信号 UART 通信に使用する信号と接続相手との接続は以下の通りです UART 信号表 番号 CPU 機能名 CPU 信号名 基板コネクタピン番号 方向 接続相手の信号名 1 USART1_TX PA9 CN > RxD 2 USART1_R TrueSTUDIO 用 L152CD_UART1 の説明 V001 2014/10/22 UART( 非同期シリアル通信 ) で送受信を行う STM32L152C-DISCO のプロジェクトサンプルです STM32L152C-DISCO は STMicroelectronics 社製の Cortex-M3 ARM CPU である STM32L152RCT6 を搭載した基板です 試用版の開発ツール

More information

三菱電機マイコン機器ソフトウエア株式会社

三菱電機マイコン機器ソフトウエア株式会社 MU500-RX サンプル回路仕様書 三菱電機マイコン機器ソフトウエア株式会社 2012-5-9 1 概要 1.1 目的本仕様書は MU500-RX と MU500-RK で実現する 1 秒カウンタの仕様について記述するものである マイコンで 1 秒を生成し 表示は 7 セグメント LED を用いる また 開始 / 停止は Push-SW を使う 1.2 関連文書 MU500-RX

More information

UIOUSBCOM.DLLコマンドリファレンス

UIOUSBCOM.DLLコマンドリファレンス UIOUSBCOM.DLL UIOUSBCOM.DLL Command Reference Rev A.1.0 2008/11/24 オールブルーシステム (All Blue System) ウェブページ : www.allbluesystem.com コンタクト :contact@allbluesystem.com 1 このマニュアルについて...3 1.1 著作権および登録商標...3 1.2

More information

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156-

CCD リニアイメージセンサ用駆動回路 C CCD リニアイメージセンサ (S11155/S ) 用 C は 当社製 CCDリニアイメージセンサ S11155/S 用に開発された駆動回路です S11155/S11156- CCD リニアイメージセンサ用駆動回路 C11165-02 CCD リニアイメージセンサ (S11155/S11156-2048-02) 用 C11165-02は 当社製 CCDリニアイメージセンサ S11155/S11156-2048-02 用に開発された駆動回路です S11155/S11156-2048-02と組み合わせることにより分光器に使用できます C11165-02 は CCD 駆動回路

More information

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V -

電気的特性 (Ta=25 C) 項目 記号 条件 Min. Typ. Max. 単位 読み出し周波数 * 3 fop khz ラインレート * Hz 変換ゲイン Gc ゲイン =2-5 - e-/adu トリガ出力電圧 Highレベル Vdd V - CCD イメージセンサ S11850-1106, S11511 シリーズ用 は 当社製 CCDイメージセンサ S11850-1106, S11511 シリーズ用に開発された駆動回路です USB 2.0インターフェースを用いて とPCを接続することにより PCからの制御でセンサのアナログビデオ信号をデジタル出力に変換し PCに取り込むことができます は センサを駆動するセンサ基板 センサ基板の駆動と

More information

Symantec AntiVirus の設定

Symantec AntiVirus の設定 CHAPTER 29 Symantec AntiVirus エージェントを MARS でレポートデバイスとしてイネーブルにするためには Symantec System Center コンソールをレポートデバイスとして指定する必要があります Symantec System Center コンソールはモニタ対象の AV エージェントからアラートを受信し このアラートを SNMP 通知として MARS に転送します

More information

スライド 1

スライド 1 RX62N 周辺機能紹介 DAC D/A Converter ルネサスエレクトロニクス株式会社ルネサス半導体トレーニングセンター 2013/08/02 Rev. 1.00 00000-A コンテンツ DACの概要 データフォーマット 変換開始と変換時間 転送時間 プログラムサンプル 2 DAC の概要 3 機能概要 項目 内容 分解能 出力チャネル 消費電力低減機能 10 ビット 2 チャネル モジュールストップ状態への設定が可能

More information

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一

内容 1. 仕様 動作確認条件 ハードウェア説明 使用端子一覧 ソフトウェア説明 動作概要 ファイル構成 オプション設定メモリ 定数一覧 変数一 RX210 グループ IRQ 割り込みを使用したパルス出力 要旨 本サンプルコードでは IRQ 割り込みが発生すると 一定期間タイマでパルスを出力する 方法について説明します 対象デバイス RX210 1 / 25 内容 1. 仕様... 3 2. 動作確認条件... 3 3. ハードウェア説明... 3 3.1 使用端子一覧... 3 4. ソフトウェア説明... 4 4.1 動作概要... 4

More information

基本操作ガイド

基本操作ガイド HT7-0022-000-V.4.0 Copyright 2004 CANON INC. ALL RIGHTS RESERVED 1 2 3 1 2 3 1 2 3 1 2 3 1 2 3 4 1 1 2 3 4 5 1 2 1 2 3 1 2 3 1 2 3 1 2 3 4 1 2 3 4 1 2 3 4 5 6 1 2 3 4 5 6 7 1 2 3 4

More information

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ

任意の間隔での FTP 画像送信イベントの設定方法 はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページ はじめに 本ドキュメントでは AXIS ネットワークカメラ / ビデオエンコーダにおいて任意の間隔で画像を FTP サー バーへ送信するイベントの設定手順を説明します 設定手順手順 1:AXIS ネットワークカメラ / ビデオエンコーダの設定ページにアクセスする 1.Web ブラウザを起動します FW v6.50 以下の場合は Internet Explorer を FW v7.10 以降の場合は

More information

TN-46-13

TN-46-13 はじめに テクニカルノート 高速 DDR SDRAM の互換性 はじめに このテクニカルノートでは DDR SDRAM デバイスの速度タイミングの違いを考察し Micron の高速グレード部品と低速グレード部品との互換性について説明します Micron DDR デバイスのタイミングは 異なる速度グレードの部品との互換性を最大限維持するように最適化されています そのため Micron のデータシートに記載されているタイミング特性は

More information

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ

1. ボードの複数台制御 コンフィグ ROM から FPGA が起動できる場合を想定しています FPGA 回路には 特定のレジスタアドレスにプリセットしたデータが必要です 製品出荷時のサンプル FPGA 回路では レジスタ No.3 を 8bit 幅に設定し FPGA 外部の 4bit ディップスイ LabVIEW 用リファレンス制御アプリケーション RefAppLV の使い方 概要 LabVIEW 開発ツールで設計したリファレンス制御アプリケーションです LabVIEW を所有していないユー ザ環境でも インストーラを利用して RefAppLV.exe を利用することができます 機能 1. 複数台ボード制御 2. USB コンフィグ機能 3. レジスタアクセス機能 4. 拡張レジスタアクセス機能

More information

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ

TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタ TOPPERS 活用アイデア アプリケーション開発 コンテスト 部門 : 活用アイデア部門アプリケーション開発部門 作品のタイトル : Toppers_JSP と Scicos_lab / (Scilab でも可 ) による 組込みメカトロニクス制御シミュレーション 作成者 : 塩出武 ( シオデタケシ ) 対象者 : 実機レス環境でモーター含むメカ制御プログラムの設計 および検証 学習をしてみたい方

More information

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure

テクニカルホワイトペーパー HP Sure Run HP PC のハードウェア強制されたアプリケーション永続性 HP Sure Run は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の HP Sure テクニカルホワイトペーパー HP PC のハードウェア強制されたアプリケーション永続性 は ハードウェア強制アプリケーション永続化ソリューションで OS の実行中にポリシー適用ハードウェアとの通信を維持する機能を備えています OS 内の エージェントが攻撃または削除された場合でも 重要なサービスおよびアプリケーションの存在を継続的に監視します はハードウェアレベル (OS より下 ) で HP エンドポイントセキュリティコントローラーと相互作用し

More information

PowerPoint プレゼンテーション

PowerPoint プレゼンテーション 電気 電子計測 第 3 回 第 8 章ディジタル計測制御システムの基礎 http://cobayasi.com/keisoku/3th/3th.pdf 今日の学習の要点 ( テキスト P85~P94). 計算機の基本的なしくみを学ぼう 2. 外部機器とのデータのやりとりについて知ろう 3. 計算機によるディジタル計測制御システムの構成法 物理量. 計算機の基本的なしくみを学ぼう ディジタル計測制御システムセンサから得た情報を

More information